_florent_ changed the topic of #litex to: LiteX FPGA SoC builder and Cores / Github : https://github.com/enjoy-digital, https://github.com/litex-hub / Logs: https://libera.irclog.whitequark.org/litex
tpb has quit [Remote host closed the connection]
tpb has joined #litex
shorne has quit [Ping timeout: 252 seconds]
TMM_ has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
TMM_ has joined #litex
Degi_ has joined #litex
Degi has quit [Ping timeout: 268 seconds]
Degi_ is now known as Degi
alainlou has joined #litex
alainlou has quit [Quit: Client closed]
FabM has joined #litex
FabM has joined #litex
FabM has quit [Changing host]
michalsieron has joined #litex
shorne has joined #litex
TMM_ has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
TMM_ has joined #litex
alainlou has joined #litex
<tnt> Ah, I was hoping just adding a USPPCIEPHY(platform, platform.request("pcie_x4") would give me PCIe, I guess that was a bit optimistic.
<tpb> Title: INFO: [Device 21-403] Loading part xczu11eg-ffvf1517-1-ixit::create_sub_core: - Pastebin.com (at pastebin.com)
<tnt> That's the first suspicious looking thing in the logs.
FabM has quit [Quit: Leaving]
Martoni42 has joined #litex
michalsieron has quit [Quit: michalsieron]
Martoni42 has quit [Ping timeout: 268 seconds]
<_florent_> tnt: The PHY were generated using Vivado 2018.2, you'll maybe find updated version here: https://github.com/antmicro/virtex-ultrascale-pcie
<tnt> _florent_: tx. I actually got is synthesizing. Issue is the xci needs to have the proper Quad Selection since it doesn't look at the pins but at what GTH are selected in the XCI.
<tnt> And to make matter worse, this board doesn't use the "default" GTH assinged to the PCIe block, but some other one, so you need to "Enable Quad Selection" in the advanced tab as well which took some time to figure out.
<tnt> I got an updated XCI and that build now, just failing timing because there is no "false path / max delay" constaint between the system/main clock domain and the pcie domain. Trying to figure out how to add that.
<_florent_> or
<_florent_> platform.toolchain.pre_placement_commands.append("set_false_path -from [get_clocks txoutclk_out[3]] -to [get_clocks clkout]")
<_florent_> platform.toolchain.pre_placement_commands.append("set_false_path -from [get_clocks clkout] -to [get_clocks txoutclk_out[3]]")
<tnt> Ah ok, I was looking at some pcie example and they didn't have anything special so I was wondering.
<tnt> btw, I see most pcie examples are x4. Is x8 tested / working ? Is there some caveat ?
<tnt> (constraint worked btw, timing met now)
<tnt> Ah right, I was only looking in litex_boards for examples.
<jevinskie[m]> Ah I see where some of my confusion comes from: kc705 is using gmii while the intel max10 dev kit uses RGMII. Looks like litex doesn’t support mode switching for RGMII yet. On 88e1111 with rgmii the tx_clk isn’t generated by the phy but by the mac and is presented on gtx_clk
pftbest_ has joined #litex
pftbest has quit [Read error: Connection reset by peer]
pftbest_ has quit [Ping timeout: 252 seconds]
pftbest has joined #litex