whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings on Mondays at 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
<d1b2> <josuah_dem> LectronZ is selling it, but there are a lot and a lot of iCE40 FPGA boards around! https://joelw.id.au/FPGA/CheapFPGADevelopmentBoards
<d1b2> <josuah_dem> healdove: ^ my bad, my IRC client broke
<d1b2> <josuah_dem> > thanks for the hard work 🙂
<d1b2> <josuah_dem> seconded!
bl0x has joined #amaranth-lang
bl0x_ has quit [Ping timeout: 240 seconds]
cr1901_ is now known as cr1901
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 240 seconds]
Degi_ is now known as Degi
urja has quit [Ping timeout: 276 seconds]
urja has joined #amaranth-lang
<d1b2> <Nate> getting DriverConflict: Signal '(sig clk_recovered)' is driven from multiple fragments: top.<unnamed #1>, to p.<unnamed #2>; hierarchy will be flattened but I def only have one .eq statement. Is there something special to take into consideration when generating a clock domain from user logic?
<whitequark> please post your code
ggangliu has joined #amaranth-lang
ggangliu has quit [Client Quit]
<healdove> josuah_dem: woah that's a great list, thanks!
<d1b2> <josuah_dem> headlove: I'd consider the iCEBreaker, which would also support the work done here https://github.com/icebreaker-fpga/icebreaker It is also likely to receive support for all the new things first for that reason
<FL4SHK> I'm gonna go ahead and implement a processor that I have a partial GCC backend written up for
<Sarayan> sounds fun
FireFly has quit [Quit: Leaving]
FireFly has joined #amaranth-lang
<d1b2> <Nate> I think I figured it out. While making an MCVE I noticed I was appending my module twice, like m.submodules += DomainRenamer(..)(mod) m.submodules += mod hence the multiple drivers