whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings on Mondays at 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
<d1b2> <jer_emy> does anyone have a small example of how to add and use a verilog file into an amaranth project? I can't seem to find one
<tpw_rules> https://lab.ktemkin.com/post/nmigen-instance/ this is from back when amaranth was called nmigen but it should still be relevant
<d1b2> <jer_emy> awesome thanks
lf has joined #amaranth-lang
lf has quit [Quit: No Ping reply in 180 seconds.]
lf has joined #amaranth-lang
lf has quit [Quit: No Ping reply in 180 seconds.]
lf has joined #amaranth-lang
<_whitenotifier-9> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+0/-0/±1] https://github.com/YoWASP/nextpnr/compare/4d51aad0f7ae...2f8966241cd0
<_whitenotifier-9> [YoWASP/nextpnr] whitequark 2f89662 - Update dependencies.
bl0x_ has joined #amaranth-lang
bl0x has quit [Ping timeout: 255 seconds]
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 255 seconds]
Degi_ is now known as Degi
kivikakk has quit [Ping timeout: 256 seconds]
kivikakk has joined #amaranth-lang
cr1901 has quit [Read error: Connection reset by peer]
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
Bluefoxicy has joined #amaranth-lang
<d1b2> <Nate> probably something silly, but it seems pysim is hanging when m.d.sync += din.eq(self.i_data) logic is hit (runs fine when commented out)
d1b2 has quit [Remote host closed the connection]
d1b2 has joined #amaranth-lang
<d1b2> <Nate> also, probably another easy one --it wasn't immediately obvious to me how/if one can wait for a pulse. Is that done via yield from(...)?
cr1901 has joined #amaranth-lang
<d1b2> <tiktik> my ft4232h mini not show eprom
<d1b2> <tiktik> after drivers update 4 port show
<bl0x_> Nate: Do you have a combinatorial loop? That happened to me before. Then the simulation just hangs. Yosys will tell you about the loop and then you can fix it.
<bl0x_> No wait, at least Vivado tells about the loop. Not sure about Yosys now...
<whitequark> Yosys sometimes does and sometimes does not
<whitequark> it's one of those things that should be fixed within Amaranth itself. soon™
<bl0x_> Nate: 'yield from' just continues execution of the other (generator) function until the next yield. This is related to python coroutines and one could write (probably has written) whole books on the topic.
<bl0x_> If you want to wait on a pulse, you'd continuously (yield your.design.signal) until it goes active. At least that's how I've done it previously. There may be better ways, though.
<whitequark> at the moment that's the best way
SpaceCoaster has joined #amaranth-lang
balrog has quit [Quit: Bye]
balrog has joined #amaranth-lang
jesopo has joined #amaranth-lang
jesopo is now known as jess
<bl0x_> Is there an easy way to take a look at the placed cells and nets when using the Vivado toolchain? Or would I be better off importing the generated top.v into a new Vivado project and compile it there?
<bl0x_> I mean the graphical floorplan of the device with post-route cells and wires.
<bl0x_> Along the lines of nextpnr GUI
<whitequark> I think if you run build.sh, it saves a project file you can open with Vivado
<whitequark> actually, forget the project file; it saves design checkpoints which you can open
<bl0x_> Great! Now I see it e.g. top_route.dcp ... well only that one actually. But that should have what I want.