whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
richardeoin has joined #amaranth-lang
sauce has quit [Ping timeout: 246 seconds]
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 260 seconds]
Degi_ is now known as Degi
<_whitenotifier-f> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://github.com/YoWASP/yosys/compare/0338c1cd0ed5...3767b1d481cd
<_whitenotifier-f> [YoWASP/yosys] whitequark 3767b1d - Update dependencies.
<_whitenotifier-f> [YoWASP/runtime] whitequark pushed 1 commit to develop [+0/-0/±1] https://github.com/YoWASP/runtime/compare/f8fabbbe321f...2d89db49c29a
<_whitenotifier-f> [YoWASP/runtime] whitequark 2d89db4 - [autorelease] Update wasmtime version requirement from <13 to <14.
chaoticryptidz has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
chaoticryptidz has joined #amaranth-lang
chaoticryptidz has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
chaoticryptidz has joined #amaranth-lang
chaoticryptidz has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
chaoticryptidz has joined #amaranth-lang
Guest48 has joined #amaranth-lang
<whitequark[cis]> mcc111: adamgreig is giving good advice, the sort of stuff verilog people do with negedge etc is janky even with verilog
<whitequark[cis]> mithro: no, it would not
Guest48 has quit [Ping timeout: 245 seconds]
MuddassirAli[m] has joined #amaranth-lang
<MuddassirAli[m]> Hello everyone
<MuddassirAli[m]> Can anyone help me to download, install and use amaranth-boards?
<MuddassirAli[m]> I am facing this issue.
<galibert[m]> how did you install amaranth?
<MuddassirAli[m]> from here
<MuddassirAli[m]> josuah: yup
<josuah> I think replacing "amaranth" by "amaranth-boards" in the install URL and package name would work
<galibert[m]> pip install "amaranth[builtin-yosys] @ git+https://github.com/amaranth-lang/amaranth-boards.git"
<galibert[m]> Probably
<galibert[m]> * install "amaranth-boards\[builtin-yosys, * [builtin-yosys\] @
<josuah> with an underscore of the package name I think (yay, python!)
<josuah> but here we go! the general method for installing python packages from git
<galibert[m]> * pip install "amaranth_boards[builtin-yosys] @ git+https://github.com/amaranth-lang/amaranth-boards.git"
<galibert[m]> * pip install "amaranth_boards[builtin-yosys]@git+https://github.com/amaranth-lang/amaranth-boards.git"
<galibert[m]> * pip install "amaranth_boards[builtin-yosys] @ git+https://github.com/amaranth-lang/amaranth-boards.git"
<josuah> (sorry)
<josuah> LiteX has a litex_setup.py, maybe amaranth could have a metapackage or some other mechanism to get the full set... or specifying them is good enough, there are not as many packages as in LiteX (litespi, litedram, litexxx, liteyyy)
<whitequark[cis]> <galibert[m]> "pip install "amaranth[builtin-..." <- without builtin-yosys
<galibert[m]> * pip install "amaranth_boards @ git+https://github.com/amaranth-lang/amaranth-boards.git"
<galibert[m]> like that?
<whitequark[cis]> yep
<galibert[m]> cool
<whitequark[cis]> actually, no, the underscore is wrong
<whitequark[cis]> amaranth-boards is the PyPI package name
<galibert[m]> * pip install "amaranth-boards @ git+https://github.com/amaranth-lang/amaranth-boards.git"
<whitequark[cis]> amaranth_boards is the Python package name (very different and unrelated)
<galibert[m]> (welcome to python packaging)
Guest48 has joined #amaranth-lang
skipwich has quit [Ping timeout: 264 seconds]
Guest48 is now known as Muddassir_Ali
<Muddassir_Ali> galibert[m] thanks it worked (y)
<galibert[m]> It was a collaborative effort :-)
skipwich has joined #amaranth-lang
Muddassir_Ali has quit [Quit: Client closed]
skipwich has quit [Ping timeout: 252 seconds]
skipwich has joined #amaranth-lang
skipwich has quit [Ping timeout: 252 seconds]
skipwich has joined #amaranth-lang
<_whitenotifier-f> [amaranth-lang/amaranth-yosys] whitequark pushed 1 commit to develop [+0/-0/±3] https://github.com/amaranth-lang/amaranth-yosys/compare/6159fedd0d36...3ec7f2b484d5
<_whitenotifier-f> [amaranth-lang/amaranth-yosys] whitequark 3ec7f2b - Ensure setuptools_scm remains at the compatible version of ~=7.0.
<_whitenotifier-f> [amaranth-lang/amaranth-yosys] whitequark pushed 1 commit to develop [+0/-0/±3] https://github.com/amaranth-lang/amaranth-yosys/compare/3ec7f2b484d5...083ae3da24cd
<_whitenotifier-f> [amaranth-lang/amaranth-yosys] whitequark 083ae3d - [autorelease] Ensure setuptools_scm remains at the compatible version of ~=7.0.
skipwich has quit [Ping timeout: 258 seconds]
skipwich has joined #amaranth-lang
<_whitenotifier-f> [amaranth-lang/amaranth-yosys] amaranth-lang-bot pushed 1 commit to release [+0/-0/±3] https://github.com/amaranth-lang/amaranth-yosys/compare/6159fedd0d36...083ae3da24cd
<_whitenotifier-f> [amaranth-lang/amaranth-yosys] whitequark 083ae3d - [autorelease] Ensure setuptools_scm remains at the compatible version of ~=7.0.
skipwich has quit [Ping timeout: 260 seconds]
skipwich has joined #amaranth-lang
skipwich has quit [Ping timeout: 252 seconds]
skipwich has joined #amaranth-lang
skipwich has quit [Ping timeout: 260 seconds]
skipwich has joined #amaranth-lang
skipwich has quit [Ping timeout: 240 seconds]
skipwich has joined #amaranth-lang
phire has quit [Ping timeout: 246 seconds]
phire has joined #amaranth-lang
mindw0rk_ has joined #amaranth-lang
mindw0rk has quit [Ping timeout: 240 seconds]
mindw0rk_ is now known as mindw0rk
nak has quit [Ping timeout: 255 seconds]
nak has joined #amaranth-lang
GenTooMan has quit [Ping timeout: 240 seconds]
GenTooMan has joined #amaranth-lang
GenTooMan has quit [Ping timeout: 258 seconds]
nak has quit [Ping timeout: 252 seconds]
nak has joined #amaranth-lang
GenTooMan has joined #amaranth-lang
GenTooMan has quit [Excess Flood]
GenTooMan has joined #amaranth-lang
GenTooMan has quit [Excess Flood]
nak has quit [Ping timeout: 248 seconds]
GenTooMan has joined #amaranth-lang
nak has joined #amaranth-lang
Bluefoxicy has quit [Read error: Connection reset by peer]
Bluefoxicy has joined #amaranth-lang
Lord_Nightmare has quit [Quit: ZNC - http://znc.in]
Lord_Nightmare has joined #amaranth-lang
sporniket has joined #amaranth-lang
<sporniket> finally got my blinky HDMI working :) https://pasteboard.co/VhCDYkFiTYZQ.gif
<sporniket> I just had forgotten to wire the input of the PLL to the default clock signal *oopsies*...
<galibert[m]> Congrats
<sporniket> lots of debug logic to clean, but the current working version is here : https://github.com/sporniket/the-cradle-colorlight-i9-ecp5-amaranth-hdl/tree/7bb109905163d6f7cfe03881757fdad7bf273cce
<sporniket> will tidy things a little before switching to other projects...
sporniket has quit [Quit: Client closed]
trabucayre has quit [Ping timeout: 264 seconds]
trabucayre has joined #amaranth-lang
<mcc111[m]> <sporniket> "lots of debug logic to clean..." <- Ahh that's exciting… theoretically I have a colorlight coming soon
GenTooMan has quit [Ping timeout: 260 seconds]
GenTooMan has joined #amaranth-lang
richardeoin has quit [Ping timeout: 244 seconds]
richardeoin has joined #amaranth-lang