whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
chaoticryptidz has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
<_whitenotifier> [amaranth] whitequark opened pull request #887: Implement RFC 18: Reorganize vendor platforms - https://github.com/amaranth-lang/amaranth/pull/887
<_whitenotifier> [amaranth] codecov[bot] commented on pull request #887: Implement RFC 18: Reorganize vendor platforms - https://github.com/amaranth-lang/amaranth/pull/887#issuecomment-1701946369
<_whitenotifier> [amaranth] whitequark opened pull request #888: Implement RFC 19: Remove `amaranth.lib.scheduler` - https://github.com/amaranth-lang/amaranth/pull/888
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 2 commits to gh-readonly-queue/main/pr-887-88cbf3012898e1c42041eefa0ea4bba0d81942e9 [+7/-0/±17] https://github.com/amaranth-lang/amaranth/compare/796068a192a8^...b172d631600c
<_whitenotifier> [amaranth-lang/amaranth] whitequark 796068a - Implement RFC 18: Reorganize vendor platforms
<_whitenotifier> [amaranth-lang/amaranth] whitequark b172d63 - docs/changes: minor cleanups and improvements.
<_whitenotifier> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-887-88cbf3012898e1c42041eefa0ea4bba0d81942e9 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 2 commits to main [+7/-0/±17] https://github.com/amaranth-lang/amaranth/compare/88cbf3012898...b172d631600c
<_whitenotifier> [amaranth-lang/amaranth] whitequark 796068a - Implement RFC 18: Reorganize vendor platforms
<_whitenotifier> [amaranth-lang/amaranth] whitequark b172d63 - docs/changes: minor cleanups and improvements.
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-887-88cbf3012898e1c42041eefa0ea4bba0d81942e9
<_whitenotifier> [amaranth] whitequark closed pull request #887: Implement RFC 18: Reorganize vendor platforms - https://github.com/amaranth-lang/amaranth/pull/887
<_whitenotifier> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-887-88cbf3012898e1c42041eefa0ea4bba0d81942e9 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±57] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/47c7cf130c53...7d2195ff1aef
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 7d2195f - Deploying to main from @ amaranth-lang/amaranth@b172d631600c1c8ed0a9a14877618054a0de0615 🚀
<_whitenotifier> [amaranth] codecov[bot] commented on pull request #888: Implement RFC 19: Remove `amaranth.lib.scheduler` - https://github.com/amaranth-lang/amaranth/pull/888#issuecomment-1701958361
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to gh-readonly-queue/main/pr-888-b172d631600c1c8ed0a9a14877618054a0de0615 [+0/-0/±3] https://github.com/amaranth-lang/amaranth/commit/cd4ea96bd12b
<_whitenotifier> [amaranth-lang/amaranth] whitequark cd4ea96 - Implement RFC 19: Remove `amaranth.lib.scheduler`
<_whitenotifier> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-888-b172d631600c1c8ed0a9a14877618054a0de0615 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±3] https://github.com/amaranth-lang/amaranth/compare/b172d631600c...cd4ea96bd12b
<_whitenotifier> [amaranth-lang/amaranth] whitequark cd4ea96 - Implement RFC 19: Remove `amaranth.lib.scheduler`
<_whitenotifier> [amaranth] whitequark closed pull request #888: Implement RFC 19: Remove `amaranth.lib.scheduler` - https://github.com/amaranth-lang/amaranth/pull/888
<_whitenotifier> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-888-b172d631600c1c8ed0a9a14877618054a0de0615 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-888-b172d631600c1c8ed0a9a14877618054a0de0615
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±36] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/7d2195ff1aef...03073e12907e
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 03073e1 - Deploying to main from @ amaranth-lang/amaranth@cd4ea96bd12b78000b9aab52a6ecb4496657b913 🚀
<_whitenotifier> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+0/-0/±2] https://github.com/YoWASP/nextpnr/compare/488b23dd35d1...dc8a9fc04832
<_whitenotifier> [YoWASP/nextpnr] whitequark dc8a9fc - Update dependencies.
Degi has quit [Ping timeout: 255 seconds]
Degi has joined #amaranth-lang
<_whitenotifier> [amaranth] whitequark opened pull request #889: vendor/*: add missing `__all__` - https://github.com/amaranth-lang/amaranth/pull/889
<_whitenotifier> [amaranth] codecov[bot] commented on pull request #889: vendor/*: add missing `__all__` - https://github.com/amaranth-lang/amaranth/pull/889#issuecomment-1701984659
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to gh-readonly-queue/main/pr-889-cd4ea96bd12b78000b9aab52a6ecb4496657b913 [+0/-0/±7] https://github.com/amaranth-lang/amaranth/commit/7f1397b281d8
<_whitenotifier> [amaranth-lang/amaranth] whitequark 7f1397b - vendor/*: add missing `__all__`.
<_whitenotifier> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-889-cd4ea96bd12b78000b9aab52a6ecb4496657b913 - https://github.com/amaranth-lang/amaranth
<cr1901> Narrator: cr1901 was sore and fatigued and couldn't put together enough bandwidth to test #886 tonight
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±7] https://github.com/amaranth-lang/amaranth/compare/cd4ea96bd12b...7f1397b281d8
<_whitenotifier> [amaranth-lang/amaranth] whitequark 7f1397b - vendor/*: add missing `__all__`.
<_whitenotifier> [amaranth] whitequark closed pull request #889: vendor/*: add missing `__all__` - https://github.com/amaranth-lang/amaranth/pull/889
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-889-cd4ea96bd12b78000b9aab52a6ecb4496657b913
<_whitenotifier> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-889-cd4ea96bd12b78000b9aab52a6ecb4496657b913 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±32] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/03073e12907e...9dbc904ba304
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 9dbc904 - Deploying to main from @ amaranth-lang/amaranth@7f1397b281d868b8d5aad9a2b10b98991b94a490 🚀
<_whitenotifier> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+0/-0/±2] https://github.com/YoWASP/nextpnr/compare/dc8a9fc04832...5dedf41cdea3
<_whitenotifier> [YoWASP/nextpnr] whitequark 5dedf41 - Use wasm32-wasi-preview1-threads target for building prjoxide.
Guest3555 has joined #amaranth-lang
notgull has quit [Ping timeout: 246 seconds]
notgull has joined #amaranth-lang
Guest3555 has quit [Ping timeout: 255 seconds]
Guest3555 has joined #amaranth-lang
notgull has quit [Ping timeout: 246 seconds]
<_whitenotifier> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+0/-0/±3] https://github.com/YoWASP/nextpnr/compare/5dedf41cdea3...a579115a788f
<_whitenotifier> [YoWASP/nextpnr] whitequark a579115 - Use wasm32-wasi-preview1-threads target for building prjoxide.
notgull has joined #amaranth-lang
Guest3555 has quit [Ping timeout: 246 seconds]
Guest3555 has joined #amaranth-lang
Guest3555 has quit [Remote host closed the connection]
<_whitenotifier> [amaranth] whitequark opened pull request #890: hdl.rec: deprecate in favor of `lib.data` and `lib.wiring` - https://github.com/amaranth-lang/amaranth/pull/890
<_whitenotifier> [amaranth] codecov[bot] commented on pull request #890: hdl.rec: deprecate in favor of `lib.data` and `lib.wiring` - https://github.com/amaranth-lang/amaranth/pull/890#issuecomment-1702112585
<_whitenotifier> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+0/-0/±3] https://github.com/YoWASP/nextpnr/compare/a579115a788f...3f466de827d8
<_whitenotifier> [YoWASP/nextpnr] whitequark 3f466de - Revert "Use wasm32-wasi-preview1-threads target for building prjoxide."
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to gh-readonly-queue/main/pr-890-7f1397b281d868b8d5aad9a2b10b98991b94a490 [+1/-0/±9] https://github.com/amaranth-lang/amaranth/commit/5a17f94fdce8
<_whitenotifier> [amaranth-lang/amaranth] whitequark 5a17f94 - hdl.rec: deprecate in favor of `lib.data` and `lib.wiring`.
<_whitenotifier> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-890-7f1397b281d868b8d5aad9a2b10b98991b94a490 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+1/-0/±9] https://github.com/amaranth-lang/amaranth/compare/7f1397b281d8...5a17f94fdce8
<_whitenotifier> [amaranth-lang/amaranth] whitequark 5a17f94 - hdl.rec: deprecate in favor of `lib.data` and `lib.wiring`.
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-890-7f1397b281d868b8d5aad9a2b10b98991b94a490
<_whitenotifier> [amaranth] whitequark closed pull request #890: hdl.rec: deprecate in favor of `lib.data` and `lib.wiring` - https://github.com/amaranth-lang/amaranth/pull/890
<_whitenotifier> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-890-7f1397b281d868b8d5aad9a2b10b98991b94a490 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±32] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/9dbc904ba304...7ea46dc327c1
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 7ea46dc - Deploying to main from @ amaranth-lang/amaranth@5a17f94fdce8ee3b1416da45a34f191b8bcda2e1 🚀
<_whitenotifier> [amaranth] whitequark opened pull request #891: lib.io: make `Pin` an interface object - https://github.com/amaranth-lang/amaranth/pull/891
<_whitenotifier> [amaranth] whitequark opened pull request #892: lib.wiring: make `flipped()` self-invertible - https://github.com/amaranth-lang/amaranth/pull/892
<_whitenotifier> [amaranth] codecov[bot] commented on pull request #892: lib.wiring: make `flipped()` self-invertible - https://github.com/amaranth-lang/amaranth/pull/892#issuecomment-1702191448
<_whitenotifier> [amaranth] whitequark edited pull request #891: lib.io: make `Pin` an interface object - https://github.com/amaranth-lang/amaranth/pull/891
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to gh-readonly-queue/main/pr-892-5a17f94fdce8ee3b1416da45a34f191b8bcda2e1 [+0/-0/±2] https://github.com/amaranth-lang/amaranth/commit/f28b20fc84fa
<_whitenotifier> [amaranth-lang/amaranth] whitequark f28b20f - lib.wiring: ensure `flipped(flipped(intf)) is intf`.
<_whitenotifier> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-892-5a17f94fdce8ee3b1416da45a34f191b8bcda2e1 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth] whitequark edited pull request #891: lib.io: make `Pin` an interface object - https://github.com/amaranth-lang/amaranth/pull/891
<_whitenotifier> [amaranth] whitequark edited pull request #891: lib.io: make `Pin` an interface object - https://github.com/amaranth-lang/amaranth/pull/891
<_whitenotifier> [amaranth] whitequark edited pull request #891: lib.io: make `Pin` an interface object - https://github.com/amaranth-lang/amaranth/pull/891
<_whitenotifier> [amaranth] whitequark edited pull request #891: lib.io: make `Pin` an interface object - https://github.com/amaranth-lang/amaranth/pull/891
<_whitenotifier> [amaranth] whitequark edited pull request #891: lib.io: make `Pin` an interface object - https://github.com/amaranth-lang/amaranth/pull/891
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth/compare/5a17f94fdce8...f28b20fc84fa
<_whitenotifier> [amaranth-lang/amaranth] whitequark f28b20f - lib.wiring: ensure `flipped(flipped(intf)) is intf`.
<_whitenotifier> [amaranth] whitequark closed pull request #892: lib.wiring: make `flipped()` self-invertible - https://github.com/amaranth-lang/amaranth/pull/892
<_whitenotifier> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-892-5a17f94fdce8ee3b1416da45a34f191b8bcda2e1 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-892-5a17f94fdce8ee3b1416da45a34f191b8bcda2e1
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±32] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/7ea46dc327c1...d98f071e10f8
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] d98f071 - Deploying to main from @ amaranth-lang/amaranth@f28b20fc84fa30c5ca8a80fb4aac19cce67192e7 🚀
<_whitenotifier> [amaranth] whitequark opened pull request #893: hdl.mem: lower `Memory` directly to `$mem_v2` RTLIL cell - https://github.com/amaranth-lang/amaranth/pull/893
<_whitenotifier> [amaranth] codecov[bot] commented on pull request #893: hdl.mem: lower `Memory` directly to `$mem_v2` RTLIL cell - https://github.com/amaranth-lang/amaranth/pull/893#issuecomment-1702222021
indy- has joined #amaranth-lang
electronic_eel_ has joined #amaranth-lang
mwk_ has joined #amaranth-lang
mwk has quit [*.net *.split]
electronic_eel has quit [*.net *.split]
indy has quit [*.net *.split]
josuah has quit [*.net *.split]
<_whitenotifier> [amaranth] whitequark opened pull request #894: docs/changes: document deprecation of `Record` - https://github.com/amaranth-lang/amaranth/pull/894
<_whitenotifier> [amaranth] codecov[bot] commented on pull request #894: docs/changes: document deprecation of `Record` - https://github.com/amaranth-lang/amaranth/pull/894#issuecomment-1702250295
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to gh-readonly-queue/main/pr-894-f28b20fc84fa30c5ca8a80fb4aac19cce67192e7 [+0/-0/±1] https://github.com/amaranth-lang/amaranth/commit/fc85feb30d5f
<_whitenotifier> [amaranth-lang/amaranth] whitequark fc85feb - docs/changes: document deprecation of `Record`.
<_whitenotifier> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-894-f28b20fc84fa30c5ca8a80fb4aac19cce67192e7 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/f28b20fc84fa...fc85feb30d5f
<_whitenotifier> [amaranth-lang/amaranth] whitequark fc85feb - docs/changes: document deprecation of `Record`.
<_whitenotifier> [amaranth] whitequark closed pull request #894: docs/changes: document deprecation of `Record` - https://github.com/amaranth-lang/amaranth/pull/894
<_whitenotifier> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-894-f28b20fc84fa30c5ca8a80fb4aac19cce67192e7 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-894-f28b20fc84fa30c5ca8a80fb4aac19cce67192e7
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±36] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/d98f071e10f8...8dfd6482201e
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 8dfd648 - Deploying to main from @ amaranth-lang/amaranth@fc85feb30d5fc8ada299f4ef32d502945eadf942 🚀
<whitequark[cis]> folks, when you have time, could you please test the following PRs:
<whitequark[cis]> especially interested in #893 since that doesn't have good test coverage
<whitequark[cis]> if you are using memories in your designs I would appreciate you running the design in both simulation and synthesis and reporting if everything works OK
<whitequark[cis]> especially if you use transparent ports in simulation
indy- has quit [Ping timeout: 246 seconds]
indy has joined #amaranth-lang
<_whitenotifier> [amaranth] whitequark edited pull request #871: [WIP] Add Display statement. - https://github.com/amaranth-lang/amaranth/pull/871
<_whitenotifier> [amaranth] whitequark edited pull request #871: [WIP] Add Display statement. - https://github.com/amaranth-lang/amaranth/pull/871
FFY00 has quit [Ping timeout: 244 seconds]
josuah has joined #amaranth-lang
GenTooMan has quit [Ping timeout: 258 seconds]
GenTooMan has joined #amaranth-lang
Wolfvak has quit [Quit: ZNC - https://znc.in]
FFY00 has joined #amaranth-lang
<crzwdjk> I will see if I have time to test 891 and 893 sometime soon, I am especially curious about the latter since I want to get yosys to infer the SPRAM in the iCE40 UP I am using, which it should be capable of doing.
Wolfvak has joined #amaranth-lang
Wanda[cis] has joined #amaranth-lang
<Wanda[cis]> <crzwdjk> "I will see if I have time to..." <- infering SPRAM is not currently possible with amaranth, for one simple reason: SPRAM cannot do memory initialization, and amaranth requires it
<Wanda[cis]> changing this is an RFC-grade work item
<crzwdjk> Ohh, good to know. So if you don't specify an initialization value, it just initializes everything to 0?
<Wanda[cis]> yes
<Wanda[cis]> (as everywhere else in amaranth; amaranth doesn't do "indeterminate" values and tries hard to preserve these semantics in emitted code)
<crzwdjk> Yeah, that makes sense
<crzwdjk> Unfortunately a bit annoying in this case but I can work around it
<Wanda[cis]> yeah
<Wanda[cis]> fixing it is also on the roadmap for ASIC reasons
<Wanda[cis]> (ASICs basically cannot do initialized memories in any reasonable way)
<Wanda[cis]> oh that's an old issue alright
<crzwdjk> Ah. Well, I suppose I will wait for the RFC
Wolfvak has quit [Quit: ZNC - https://znc.in]
Wolfvak has joined #amaranth-lang
<_whitenotifier> [amaranth-soc] jfng edited pull request #40: CSR register API prototype - https://github.com/amaranth-lang/amaranth-soc/pull/40
<_whitenotifier> [amaranth-soc] jfng edited pull request #40: Implement RFC 16 (CSR register API) - https://github.com/amaranth-lang/amaranth-soc/pull/40
jfng[m] has joined #amaranth-lang
<jfng[m]> i won't be able to conduct a SoC meeting today, sorry
Chips4MakersakaS has joined #amaranth-lang
<Chips4MakersakaS> np for me, rather busy aslo.
electronic_eel_ has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
electronic_eel has joined #amaranth-lang
<_whitenotifier> [amaranth-soc] jfng opened pull request #47: wishbone.bus: remove deprecated use of Repl. - https://github.com/amaranth-lang/amaranth-soc/pull/47
<cr1901> Ngl, I was asleep during the meeting. I'm still worn out from yesterday
jess is now known as JESS
JESS is now known as jess
crzwdjk has quit [Read error: Connection reset by peer]