whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 246 seconds]
Degi_ is now known as Degi
sauce has quit [Server closed connection]
sauce has joined #amaranth-lang
kinnu_23461[m] has quit [Quit: Idle timeout reached: 172800s]
mobius has quit [Server closed connection]
mobius has joined #amaranth-lang
charlottia has quit [Quit: Idle timeout reached: 172800s]
<cr1901> whitequark[cis]1: https://github.com/cr1901/efbutils/blob/amcli/src/efbutils/ufm/reader/efb.py Please enjoy (actually more likely, wear eye protection). Criticism is VERY welcome. I don't actually quite like this, but I'm trying to balance "number of input arg explosion" with "ease of instantiating in Python"
* cr1901 is going to bed for now
<whitequark[cis]1> that's kind of cursed but I don't hate it
<tpw_rules> mcc111[m]: re my demo, using the jtag uart. there is no way to provide input on the pocket itself currently. very alpha :)
<whitequark[cis]1> <zyp[m]> "I wrote some magic for orbtrace..." <- I think this code might well be simplified soon, with the introduction of interfaces
vup2 has quit [Server closed connection]
vup has joined #amaranth-lang
<mcc111[m]> <tpw_rules> "mcc111: re my demo, using the..." <- In retrospect this is a sort of obvious * _ *
<mcc111[m]> Terrifying, but obvious * _ *
<galibert[m]> You made me poke at analogue to ask for a devkit :-)
<galibert[m]> It's your fault, etc
<galibert[m]> Shame on... nobody I guess
<mcc111[m]> heh
omnitechnomancer has quit [Quit: Idle timeout reached: 172800s]
Chips4MakersakaS has quit [Quit: Idle timeout reached: 172800s]
swym4 has quit [Server closed connection]
swym4 has joined #amaranth-lang
jfng[m] has quit [Quit: Idle timeout reached: 172800s]
<cr1901> that's kind of cursed but I don't hate it <-- after sleeping on it, I'm not sure I hate it either. Buuuut... I don't like that I have to create a separate object solely for Verilog generation just because the __init__() args that amaranth_cli needs (string-y) is incompatible with an ergonomic __init__() for creating EFB instance in Python code.
<cr1901> Apparently the workaround for multiple python __init__() constructors are @classmethods. What are thoughts on having an "from_amaranth_cli" @classmethod that the CLI uses if it exists instead of __init__?
benreynwar has quit [Server closed connection]
benreynwar has joined #amaranth-lang
rxvoid[m] has joined #amaranth-lang
<rxvoid[m]> Hi, I was experimenting a bit with resets when I noticed having a domain with an async reset is sufficient to get an $adff cell, whether the signal is reset-less or not. Is that intentional? Adding a condition to the RTLIL backend to get a $dff in that case seems to yield "consistent" results (on the iCE40)...
<_whitenotifier-1> [amaranth] cr1901 reviewed pull request #904 commit - https://github.com/amaranth-lang/amaranth/pull/904#discussion_r1320877284
<mcc111[m]> From the amaranth sample project… that's a Python type statement? Nothing more complicated, right? Asking cuz I thought python types weren't so popula rin these parts