whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings on Mondays at 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
jess has quit []
<_whitenotifier-9> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://github.com/YoWASP/yosys/compare/5225b28f2bfa...f608dc628b0a
<_whitenotifier-9> [YoWASP/yosys] whitequark f608dc6 - Update dependencies.
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 252 seconds]
Degi_ is now known as Degi
<d1b2> <VA3TEC-Mikek-14362> I have been struggling with this for a few days now, I am working with the Deca Fpga board and I would like to know if there is some documentation on how to properly setup the GPIO pins to amaranth. I got the LEDS to work fine. Not sure if this is related? https://github.com/amaranth-lang/amaranth-boards/issues/128 Any working GPIO example would be great! Thanks! MikeK
ClownpieceFanOpp has joined #amaranth-lang
ClownpieceFanOpp has left #amaranth-lang [#amaranth-lang]
Degi_ has joined #amaranth-lang
Degi_ is now known as Degi
Degi has quit [Quit: ZNC 1.7.5+deb4 - https://znc.in]
peeps[zen] has quit [Ping timeout: 252 seconds]
peepsalot has joined #amaranth-lang
cesar12 has joined #amaranth-lang
kmehall has quit [Remote host closed the connection]
kmehall has joined #amaranth-lang
<d1b2> <Nate> Have you tried searching this discord thread? I believe tnt had a useful link / example when I asked a similar q
<josuah> VA3TEC-Mikek-14362: you might be interested in Resource()s in Amaranth
<josuah> It has a list of resources, which can be requested the same way you did for the LED, but unlike the LEDs, there is no ready-made Resource for each GPIO, instead, there are Connectors
<josuah> what you can do, then, is to create the Resource yourself, which is the perfect moment to group the related GPIO together in ports of your liking, and give them handy names
<josuah> here you can also decide to reverse the signals (Pin() vs NPin()), declare subsignals ("yourconnector.thispart.input" for instance), or any other optional things
<josuah> then, with the resource you created for yourself, you can intantiate that onto your project just like you did for the LEDs.
<josuah> Here is an example of how I did it for a small IR decoder + segment display project: https://github.com/josuah/pico-ice-pmod/blob/main/amaranth/main.py#L80-L92
<josuah> Here are multiple examples from which I likely learned it myself (thank you bl0x!): https://github.com/bl0x/learn-fpga-amaranth/tree/main/boards