whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings on Mondays at 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
bl0x_ has joined #amaranth-lang
bl0x has quit [Ping timeout: 268 seconds]
skipwich_ has joined #amaranth-lang
skipwich has quit [Ping timeout: 248 seconds]
skipwich_ has quit [Read error: Connection reset by peer]
skipwich has joined #amaranth-lang
skipwich_ has joined #amaranth-lang
skipwich has quit [Read error: Connection reset by peer]
Degi has quit [Ping timeout: 276 seconds]
Degi has joined #amaranth-lang
skipwich_ has quit [Quit: DISCONNECT]
skipwich has joined #amaranth-lang
pbsds has quit [Quit: The Lounge - https://thelounge.chat]
pbsds has joined #amaranth-lang
Gracana has quit [Remote host closed the connection]
sensille has left #amaranth-lang [#amaranth-lang]
indy_ is now known as indy
<d1b2> <Olivier Galibert> Hi, I have an incoming sync domain that's actually a 32MHz clock + a divide-by-4 enable signal added with EnableInserter giving a 8Mhz domain. Can I, in the module, take the sync domain and divide it by two to create a new domain that will end up at 4Mhz?
<d1b2> <Olivier Galibert> the module sohuld not know or care what the original clock is at
<d1b2> <Olivier Galibert> Ok, just remembered it's not (yet) possible
mindw0rk has quit [Quit: ZNC 1.8.2 - https://znc.in]
mindw0rk has joined #amaranth-lang
sugarbeet has quit [Ping timeout: 260 seconds]
sugarbeet has joined #amaranth-lang
<d1b2> <josuah_dem> You could add an extra domain?
<d1b2> <josuah_dem> Not the sync domain though...
<d1b2> <dratini0> So, I have been experimenting with Amaranth for tinytapeout, but I think the issue I'm running into is not specific to OpenLane. The docs say that if I don't explicitly name my signals, they will be named based on the attribute I assign them to (https://amaranth-lang.org/docs/amaranth/latest/lang.html#signal-names). However, when I try to do that, I end up with a bunch of unnamed signals, which then makes OpenLane's Yosys to complain:
<d1b2> ERROR: Mix of positional and named cell ports. Here is the commit that seems to fix this issue for me: https://github.com/dratini0/tt03-pov-display/commit/843ce4484a499692581bb4c838b0cfd2f15e8ec6 What am I doing wrong?
<mwk> what version of amaranth are you using? this sounds like a bug that's already fixed on git main
<d1b2> <dratini0> Let me check
<d1b2> <dratini0> It is 0.3
<mwk> yeah, that's been a while ago
<d1b2> <dratini0> Thank you, you're right. Installing from Github fixes the issue!