azonenberg changed the topic of ##openfpga to: Open source tools for FPGAs, CPLDs, etc. Silicon RE, bitfile RE, synthesis, place-and-route, and JTAG are all on topic. Channel logs: https://libera.irclog.whitequark.org/~h~openfpga
Degi_ has joined ##openfpga
Degi has quit [Ping timeout: 252 seconds]
Degi_ is now known as Degi
balrog has joined ##openfpga
specing_ has joined ##openfpga
specing has quit [Killed (NickServ (GHOST command used by specing_))]
specing_ is now known as specing
iximeow_ is now known as iximeow
adamse_ is now known as adamse
thaytan has quit [Ping timeout: 252 seconds]
thaytan has joined ##openfpga
lopsided98 has quit [Ping timeout: 255 seconds]
lopsided98 has joined ##openfpga
lopsided98 has quit [Ping timeout: 250 seconds]
lopsided98 has joined ##openfpga
Raito_Bezarius has quit [Quit: free()]
Raito_Bezarius has joined ##openfpga
specing_ has joined ##openfpga
specing has quit [Killed (NickServ (GHOST command used by specing_))]
specing_ is now known as specing
GenTooMan has quit [Ping timeout: 255 seconds]
GenTooMan has joined ##openfpga
kittennbfive has joined ##openfpga
<kittennbfive> Hello experts! Is there a way with Yosys to get a FIFO using the EBR of the ECP5? There is no primitive for this and i obviously don't have they proprietary software...
<kittennbfive> Or a more open question, do we have a tool like ecppll but for all these different RAM-types?
<gatecat> unless you have some very special requirement, I think finding some regular FIFO Verilog and letting BRAM be inferred should be fine
<tnt> kittennbfive: sync or async would be the first question.
<kittennbfive> tnt: I am sorry, i am a beginner. I have a common clock for both sides, it is that what you mean? I need a FIFO because some part of my design reacts to external events and some other part spits out data over an UART and that is somewhat slower, so i need some buffer.
<kittennbfive> gatecat: So Yosys will automatically use BRAM if needed?
<tnt> yes, same clock = sync fifo.
<tnt> kittennbfive: look at fifo_sync_ram.v in https://github.com/no2fpga/no2misc/tree/master/rtl . ( and it uses ram_sdp.v to "infer" ram blocks ).
<kittennbfive> thank you, i will try this
<kittennbfive> ok, so indeed Yosys infers some DP16KD when using ram_sdp.v. I will study this code to understand how it works exactly (as i said, beginner here). Thanks again.
<kittennbfive> just a quick note for the Yosys-people: The Link to the pdf-manual on https://yosyshq.net/yosys/documentation.html is broken.
kittennbfive has quit [Quit: Leaving]
sgstair_ has joined ##openfpga
sgstair has quit [Ping timeout: 255 seconds]
GenTooMan has quit [Remote host closed the connection]
GenTooMan has joined ##openfpga