ChanServ changed the topic of #yosys to: Yosys Open SYnthesis Suite: https://github.com/YosysHQ/yosys/ | Channel logs: https://libera.irclog.whitequark.org/yosys/
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
|{ame has joined #yosys
<|{ame> hello, why does this
<|{ame> module laspi(clk,leds);
<|{ame>    input clk;
<|{ame>    output [7:0] leds;
<|{ame>    reg [23:0] counter;
<|{ame>    always @(posedge clk)
<|{ame>      begin
<|{ame>         counter<=counter+1;
<|{ame>      end
<|{ame>    assign leds[7:0]=counter[23:16];
<|{ame> endmodule
<|{ame> give the following warning?
<|{ame> ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep").
<|{ame> (yosys compiled from git)
<so-offish> I finally got a workstation in for all things FOSS FPGA
<so-offish> So happy.
so-offishul has joined #yosys
so-offish has quit [Ping timeout: 246 seconds]
<jevinskie[m]> <lofty> "It also helps if your toolchain..." <- Reminds me, I promised someone else this week I’d do an automatic decompilation of the Quartus binaries and spit out all the option names passed to calls to cfg_*
|{ame has quit [Quit: Client closed]
|{ame has joined #yosys
<|{ame> on ice40-hx8k, how do you enable pull ups?
<cr1901> I think you have to instantiate an SB_IO directly, and enable the pullup parameter
<|{ame> is it a block for each input pin?
<tpb> Title: Josh Headapohl (at joshhead.net)
<cr1901> Yes, needs to be done for each pin that you want to enable the pullup
<|{ame> thank you!
<tnt> you can also set -pullup yes in the PCF.
kristianpaul has quit [Read error: Connection reset by peer]
kristianpaul has joined #yosys
<lofty> |{ame: the ABC warning is harmless; ignore it
<lofty> (the real answer is that Yosys hasn't passed any flops to ABC, but calls a sequential optimisation pass)
<|{ame> tnt: thanks,that worked
<|{ame> lofty: thank you
<lofty> |{ame: which Yosys flow are you using, by the way?
<|{ame> git version
<|{ame> with a ice board
<|{ame>  Yosys 0.29+11 (git sha1 d82bae32b, gcc 11.3.0-1ubuntu1~22.04 -fPIC -Os)
<lofty> You should consider using `synth_ice40 -abc9`
<lofty> Maybe with `-dff` as well
<|{ame> I am now using yosys -p "read_verilog -noautowire $(SOURCES) " -p "synth_ice40 -blif $@"
<lofty> |{ame: there's a more idiomatic way of doing that, but sure
<lofty> You should add `-abc9 -dff` to the `synth_ice40` call
<|{ame> what's the proper way? I just cobbled up a makefile, but I'd love to do things the proper way if there's one
<tnt> more importantly the -blif output makes me think you still use arachne-pnr ?
<|{ame> yes,I do
<|{ame>  arachne-pnr -d 8k -p $(CONSTRAINT) -P ct256 $< -o $@
<lofty> Yeah, no, you should use nextpnr
<lofty> arachne-pnr has been dead for years
<lofty> |{ame: if you just pass source files on the command line, Yosys will default to calling `read` on those files
<lofty> So you can do `yosys -p "synth_ice40 -abc9 -dff -blif $@" $(SOURCES)`
<lofty> But yes, you should use nextpnr; it's so much faster, and it's also maintained
<|{ame> thanks guys,I switched to it, and, indeed, for one case in which arachne could not route, nextpnr worked without problems
<lofty> It's also a lot faster, isn't it? :P
<|{ame> it is, no more route passes filling the compilation buffer!
<lofty> I mean, nextpnr is still kind of noisy, but it's fine :p
schaeg has joined #yosys
|{ame has quit [Remote host closed the connection]
|{ame has joined #yosys
|{ame has quit [Quit: Client closed]
Guest66 has joined #yosys
<Guest66> hello how to remove assign sentence?
<Guest66> How to eliminate "assign" after synthesis?
<Guest66> How to eliminate "assign" after synthesis?
<xiretza[m]> asking once is enough, if someone has an answer they'll tell you
<Guest66> sorry
philtor has quit [Ping timeout: 268 seconds]
<Guest66> 9.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target).
<Guest66> ERROR: FF AsyncQueueSink.$auto$ff.cc:266:slice$652898 (type $_DFF_PP0_) cannot be legalized: dffs with async set or reset are not supported
<Guest66> how to solve it?
<tnt> Don't use async FF ... it's not supported in whatever technology you're trying to use obviously.
Guest66 has quit [Quit: Client closed]
so-offish1 has joined #yosys
so-offishul has quit [Ping timeout: 240 seconds]
so-offish1 has quit [Client Quit]
ec_ has quit [Remote host closed the connection]
ec_ has joined #yosys
philtor has joined #yosys
so-offish has joined #yosys
<so-offish> jevinskie[m]: What are you using for decompilation and how do I find out more about that
ec_ has quit [Ping timeout: 240 seconds]
kraiskil has joined #yosys
kraiskil has quit [Ping timeout: 240 seconds]
nonchip has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
nonchip has joined #yosys
cr1901 has quit [Quit: Leaving]
cr1901 has joined #yosys
dormito has quit [Ping timeout: 256 seconds]
dormito has joined #yosys
schaeg has quit [Ping timeout: 240 seconds]
jn has quit [Remote host closed the connection]
jn has joined #yosys
|{ame has joined #yosys