ChanServ changed the topic of #yosys to: Yosys Open SYnthesis Suite: https://github.com/YosysHQ/yosys/ | Channel logs: https://libera.irclog.whitequark.org/yosys/
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
ec has quit [Remote host closed the connection]
ec has joined #yosys
bl0x has joined #yosys
bl0x_ has quit [Ping timeout: 246 seconds]
lumo_e has quit [Ping timeout: 240 seconds]
strobo has quit [Read error: Connection reset by peer]
strobo has joined #yosys
FabM has joined #yosys
FabM has joined #yosys
FabM has quit [Changing host]
krispaul has joined #yosys
kristianpaul has quit [Ping timeout: 240 seconds]
lumo_e has joined #yosys
so-offish has quit [Ping timeout: 250 seconds]
so-offish has joined #yosys
lumo_e has quit [Ping timeout: 268 seconds]
lumo_e has joined #yosys
lumo_e has quit [Ping timeout: 256 seconds]
lumo_e has joined #yosys
lumo_e has quit [Ping timeout: 256 seconds]
lumo_e has joined #yosys
lumo_e has quit [Ping timeout: 256 seconds]
lumo_e has joined #yosys
so-offishul has joined #yosys
so-offish1 has joined #yosys
so-offish has quit [Ping timeout: 260 seconds]
so-offishul has quit [Ping timeout: 256 seconds]
so-offish1 has quit [Quit: Leaving]
so-offish has joined #yosys
lumo_e has quit [Ping timeout: 276 seconds]
FabM has quit [Ping timeout: 260 seconds]
cr1901 has quit [Read error: Connection reset by peer]
cr1901 has joined #yosys
bjorkintosh has quit [Quit: Leaving]
lumo_e has joined #yosys
lumo_e has quit [Ping timeout: 256 seconds]
lumo_e has joined #yosys
lumo_e has quit [Ping timeout: 256 seconds]
strobo has quit [Ping timeout: 248 seconds]
strobo has joined #yosys
nonchip has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
nonchip has joined #yosys
bjorkintosh has joined #yosys
bjorkintosh has quit [Changing host]
bjorkintosh has joined #yosys
bjorkintosh has quit [Ping timeout: 240 seconds]
peepsalot has quit [Read error: Connection reset by peer]
peepsalot has joined #yosys