whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
mindw0rk has quit [*.net *.split]
balrog has quit [*.net *.split]
nak has quit [*.net *.split]
Bluefoxicy has quit [*.net *.split]
Wolfvak has quit [*.net *.split]
lambda has quit [*.net *.split]
lambda has joined #amaranth-lang
nak has joined #amaranth-lang
nak has joined #amaranth-lang
nak has quit [Signing in (nak)]
balrog has joined #amaranth-lang
Bluefoxicy has joined #amaranth-lang
Wolfvak has joined #amaranth-lang
mindw0rk has joined #amaranth-lang
<_whitenotifier> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±2] https://github.com/YoWASP/yosys/compare/3a460cce84b4...5843bbebdf4f
<_whitenotifier> [YoWASP/yosys] whitequark 5843bbe - Update dependencies.
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 248 seconds]
Degi_ is now known as Degi
Bluefoxicy has quit [Ping timeout: 246 seconds]
<_whitenotifier> [amaranth-boards] cr1901 commented on pull request #214: Add MachXO2 Breakout board - https://github.com/amaranth-lang/amaranth-boards/pull/214#issuecomment-1694156831
Darius has quit [Quit: Bye]
Darius has joined #amaranth-lang
Bluefoxicy has joined #amaranth-lang
mobius has quit [Ping timeout: 246 seconds]
mobius has joined #amaranth-lang
nyanotech has quit [Remote host closed the connection]
nyanotech has joined #amaranth-lang
sporniket has joined #amaranth-lang
<sporniket> Hello, back to toying a little with amaranth hdl after a long period toying with other thing ; bumping my dependencies, I notice the warning about the deprecation "DeprecationWarning: instead of using `Past`, create a register explicitly"
<sporniket> The thing is
<sporniket> I don't know at all what I should write instead.
<sporniket> I searched a little bit for an hint (some issues about Past like #526,
<sporniket> googling this libera chat salon's history about "Past"
<sporniket> looking at amaranth.hdl.ast.py for clues
<sporniket> )
<sporniket> but I admit that I have no idea
<sporniket> of what to do
<sporniket> So, what would be the equivalent of "Past(dut.mysignal, n_cycles_back_in_time)" given I have just a single clock ?
<sporniket> thanks in advance
<jn> sporniket: as far as i understand it: creating a register explicitly more or less means creating a shift register which is shifted by 1 on every clock, and always gets the current value of your signal on the input end. then, you can access shiftreg[n] to get the value that is n cycles in the past
<jn> (i haven't tried it myself so i can't provide exact syntax for this)
<sporniket> ha, that I understand better, I can work from that.
<sporniket> thanks
indy has quit [Quit: ZNC 1.8.2 - https://znc.in]
indy has joined #amaranth-lang
nak has quit [Ping timeout: 260 seconds]
sporniket has quit [Quit: Client closed]
nak has joined #amaranth-lang
<galibert[m]> If you have two identical Case() in a switch, even on an enum, there's no warning
<galibert[m]> (it's not two complex matches which happen to have a common values subset, it's pure equality)
Wolfvak has quit [Ping timeout: 245 seconds]
Wolfvak has joined #amaranth-lang