Catherine[m] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings on Mondays at 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
<_whitenotifier-8> [amaranth] bl0x commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1668723270
charlottia has quit [Quit: Idle timeout reached: 172800s]
<_whitenotifier-8> [amaranth] bl0x commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1668728898
richardeoin has quit [Ping timeout: 252 seconds]
richardeoin has joined #amaranth-lang
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
Bluefoxicy has joined #amaranth-lang
<_whitenotifier-8> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://github.com/YoWASP/yosys/compare/b5c8741a05cc...1448d525bd90
<_whitenotifier-8> [YoWASP/yosys] whitequark 1448d52 - Update dependencies.
<_whitenotifier-8> [YoWASP/yosys] whitequark pushed 1 commit to develop-0.32 [+0/-0/±1] https://github.com/YoWASP/yosys/commit/d0ee6801cc45
<_whitenotifier-8> [YoWASP/yosys] whitequark d0ee680 - [autorelease] Yosys 0.32.
<_whitenotifier-8> [yosys] whitequark created branch develop-0.32 - https://github.com/YoWASP/yosys
<_whitenotifier-8> [YoWASP/yosys] whitequark created branch release-0.32 https://github.com/YoWASP/yosys/commit/d0ee6801cc45748a8630a04723eb290fcff1a7bf
<_whitenotifier-8> [yosys] whitequark created branch release-0.32 - https://github.com/YoWASP/yosys
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
Bluefoxicy has joined #amaranth-lang
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 246 seconds]
Degi_ is now known as Degi
jn has quit [Ping timeout: 246 seconds]
jn has joined #amaranth-lang
jn has joined #amaranth-lang
Bluefoxicy has quit [Ping timeout: 246 seconds]
Bluefoxicy has joined #amaranth-lang
<mcc111[m]> <adamgreig[m]> "mcc111: https://gist.github.com..." <- Hi, thanks so much for the help— I compiled the exact .pys here and uploaded them to the board. If I upload this FPGA, the LED in the upper right of this image https://github.com/dadamachines/doppler/raw/master/img/dadamachines-doppler-front.png lights up. The LED which is one to the left of it (in the image) appears to be lit at about 50% intensity, though I cannot tell if
<mcc111[m]> can't tell what it's doing. I could believe this dim light is caused by a gpio being high 1 out of 2 cycles or maybe even 1 out of 24 but not 1 out of 2^24…
<mcc111[m]> this is because it is strobing on and off very quickly or if there is electrical leakage from the other LED. The documentation on how to program the fpga binary is not super great but I think it succeeded because if I "sabotage" it no light comes on at all. What exactly do you expect this program to do? My skimming without knowing the language yet gives me the sense "aled" should be set high one out of every 2^24 cycles and "kled" I
Bluefoxicy has quit [Ping timeout: 246 seconds]
Bluefoxicy has joined #amaranth-lang
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
Bluefoxicy has joined #amaranth-lang
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
Bluefoxicy has joined #amaranth-lang
SpaceCoaster has quit [*.net *.split]
jess has quit [*.net *.split]
_whitenotifier-8 has quit [*.net *.split]
cyrozap has quit [*.net *.split]
Allie has quit [*.net *.split]
SpaceCoaster has joined #amaranth-lang
cyrozap has joined #amaranth-lang
jess_ has joined #amaranth-lang
charlottia has joined #amaranth-lang
<charlottia> mcc111: I'm making assumptions about how the LED matrix anode/cathodes work here, but essentially, holding down the button should cause a LED to strobe every 2^24 cycles.
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
Allie has joined #amaranth-lang
<charlottia> (The Counter will increment its count once per cycle. Its overflow will go high only when count == 0, i.e. when it's just overflowed.)
Bluefoxicy has joined #amaranth-lang
<charlottia> (The first member in aled (which I guess is one of four LED matrix anodes) will go high when the counter's overflow goes high, but only while the button is pressed down. When up, it remains low.)
<charlottia> * (The first member in aled (which I guess is one of four LED matrix anodes) will go high when the counter's overflow goes high, but only while the button is pressed down. When the button is up, it remains low, as do the others.)
<charlottia> Having a look at the schematic now to see what this all means. I see now it's a 4x4 LED matrix!
GenTooMan has quit [Ping timeout: 246 seconds]
<charlottia> It looks like they do it slightly differently; they change the output-enable of the kleds instead of changing the output. (This connection is fully made over on lines 26-29.)
<charlottia> I'll slightly modify Adam's example to see if I can get you any further.
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
Bluefoxicy has joined #amaranth-lang
GenTooMan has joined #amaranth-lang
<charlottia> I don't know what I'm doing with any certainty, but maybe this will work better! This example tries to just light up one LED in the matrix at a time; depressing the button will move to the next one.
<charlottia> (The button isn't debounced so it'll probably skip a few at a time.)
<charlottia> Also I might have the column/row terminology reversed, since I didn't read the Arduino example carefully! I guess you can always just rotate the board.
<charlottia> Please note the platform file changed slightly here too -- we need individually controllable output-enables for all 4 cathodes, so there are four distinct kled resources.
jess_ is now known as jess
_whitenotifier-9 has joined #amaranth-lang
<_whitenotifier-9> [amaranth] whitequark commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669199489
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1286879330
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1286880484
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1286880648
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1286881207
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1286882114
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1286882365
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1286883182
bob_twinkles has quit [*.net *.split]
_alice has quit [*.net *.split]
tcal has quit [*.net *.split]
electronic_eel has quit [*.net *.split]
Xesxen has quit [*.net *.split]
ktemkin has quit [*.net *.split]
Abhishek_ has quit [*.net *.split]
LoveMHz has quit [*.net *.split]
XgF has quit [*.net *.split]
_alice has joined #amaranth-lang
bob_twinkles has joined #amaranth-lang
LoveMHz has joined #amaranth-lang
tcal has joined #amaranth-lang
electronic_eel has joined #amaranth-lang
Abhishek_ has joined #amaranth-lang
ktemkin has joined #amaranth-lang
XgF has joined #amaranth-lang
Xesxen has joined #amaranth-lang
<_whitenotifier-9> [amaranth] bl0x reviewed pull request #734 commit - https://github.com/amaranth-lang/amaranth/pull/734#discussion_r1286290262
<_whitenotifier-9> [amaranth] bl0x reviewed pull request #734 commit - https://github.com/amaranth-lang/amaranth/pull/734#discussion_r1286474833
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to drop-py3.7 [+0/-0/±2] https://github.com/amaranth-lang/amaranth-boards/commit/ef04466de5a8
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark ef04466 - Drop support for Python 3.7.
<_whitenotifier-9> [amaranth-boards] whitequark created branch drop-py3.7 - https://github.com/amaranth-lang/amaranth-boards
<_whitenotifier-9> [amaranth-boards] whitequark opened pull request #228: Drop support for Python 3.7 - https://github.com/amaranth-lang/amaranth-boards/pull/228
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to drop-py3.7 [+0/-0/±2] https://github.com/amaranth-lang/amaranth-boards/compare/ef04466de5a8...a64884decb01
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark a64884d - Drop support for Python 3.7.
<_whitenotifier-9> [amaranth] whitequark commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669356888
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to drop-py3.7 [+0/-0/±2] https://github.com/amaranth-lang/amaranth-boards/compare/a64884decb01...833f43bcac97
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 833f43b - Drop support for Python 3.7.
<jfng[m]> i wonder if amaranth-boards could benefit from a CODEOWNERS file too
<jfng[m]> OTOH, reviews may be limited by (lack of) access to the board, or familiarity with its vendor
<whitequark[cis]> yes, it should have one, specifying someone who owns that board and can test it
<whitequark[cis]> we'll get there
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to tang-nano [+1/-0/±0] https://github.com/amaranth-lang/amaranth-boards/compare/e7a034af5cce...097058382f15
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 0970583 - Add support for Tang Nano.
<_whitenotifier-9> [amaranth] whitequark commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669375854
<_whitenotifier-9> [amaranth-boards] whitequark closed pull request #228: Drop support for Python 3.7 - https://github.com/amaranth-lang/amaranth-boards/pull/228
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth-boards/compare/510c28e3799a...3a662f05206c
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 3a662f0 - Drop support for Python 3.7.
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark deleted branch drop-py3.7
<_whitenotifier-9> [amaranth-boards] whitequark deleted branch drop-py3.7 - https://github.com/amaranth-lang/amaranth-boards
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to dev-deps [+0/-0/±2] https://github.com/amaranth-lang/amaranth-boards/commit/a7cf0fb558a8
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark a7cf0fb - Add PDM development workflow that integrates YoWASP toolchain.
<_whitenotifier-9> [amaranth-boards] whitequark created branch dev-deps - https://github.com/amaranth-lang/amaranth-boards
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to dev-deps [+0/-0/±2] https://github.com/amaranth-lang/amaranth-boards/compare/a7cf0fb558a8...5a040475ed7f
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 5a04047 - Add PDM development workflow that integrates YoWASP toolchain.
<_whitenotifier-9> [amaranth-boards] whitequark opened pull request #229: Add PDM development workflow that integrates YoWASP toolchain - https://github.com/amaranth-lang/amaranth-boards/pull/229
<_whitenotifier-9> [amaranth-boards] whitequark closed pull request #229: Add PDM development workflow that integrates YoWASP toolchain - https://github.com/amaranth-lang/amaranth-boards/pull/229
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth-boards/compare/3a662f05206c...994a4df196b1
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 994a4df - Add PDM development workflow that integrates YoWASP toolchain.
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark deleted branch dev-deps
<_whitenotifier-9> [amaranth-boards] whitequark deleted branch dev-deps - https://github.com/amaranth-lang/amaranth-boards
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to tang-nano [+1/-0/±0] https://github.com/amaranth-lang/amaranth-boards/compare/097058382f15...90960796fdc2
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 9096079 - Add support for Tang Nano.
<whitequark[cis]> okay, that was some nice QoL improvement for amaranth-boards
<_whitenotifier-9> [amaranth] bl0x commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669408206
<_whitenotifier-9> [amaranth] whitequark commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669412840
<_whitenotifier-9> [amaranth] bl0x commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669422746
<_whitenotifier-9> [amaranth] bl0x commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669436418
<_whitenotifier-9> [amaranth] whitequark commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669441297
<_whitenotifier-9> [amaranth] whitequark reviewed pull request #734 commit - https://github.com/amaranth-lang/amaranth/pull/734#discussion_r1286987689
<_whitenotifier-9> [amaranth] whitequark commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669453925
mwk has quit [Ping timeout: 246 seconds]
miek__[m] has quit [Quit: Idle timeout reached: 172800s]
mwk has joined #amaranth-lang
<_whitenotifier-9> [amaranth] bl0x reviewed pull request #734 commit - https://github.com/amaranth-lang/amaranth/pull/734#discussion_r1287015250
<_whitenotifier-9> [amaranth] bl0x reviewed pull request #734 commit - https://github.com/amaranth-lang/amaranth/pull/734#discussion_r1287019428
<_whitenotifier-9> [amaranth] bl0x reviewed pull request #734 commit - https://github.com/amaranth-lang/amaranth/pull/734#discussion_r1287020737
<_whitenotifier-9> [amaranth] whitequark reviewed pull request #734 commit - https://github.com/amaranth-lang/amaranth/pull/734#discussion_r1287031211
<_whitenotifier-9> [amaranth] whitequark commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669525408
<_whitenotifier-9> [amaranth] bl0x commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669528780
<_whitenotifier-9> [amaranth] whitequark commented on pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1669530112
<_whitenotifier-9> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to gh-readonly-queue/main/pr-734-9dfaa931a3d6f8d60dfccf34a661731b6dbd273c [+2/-0/±2] https://github.com/amaranth-lang/amaranth/commit/93e89f563256
<_whitenotifier-9> [amaranth-lang/amaranth] bl0x 93e89f5 - vendor.gowin: new platform.
<_whitenotifier-9> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-734-9dfaa931a3d6f8d60dfccf34a661731b6dbd273c - https://github.com/amaranth-lang/amaranth
<_whitenotifier-9> [YoWASP/nextpnr] whitequark pushed 1 commit to develop-0.6 [+0/-0/±1] https://github.com/YoWASP/nextpnr/compare/fc4992d63cc7...e353914035cc
<_whitenotifier-9> [YoWASP/nextpnr] whitequark e353914 - [autorelease] Update dependencies.
<_whitenotifier-9> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+2/-0/±2] https://github.com/amaranth-lang/amaranth/compare/9dfaa931a3d6...93e89f563256
<_whitenotifier-9> [amaranth-lang/amaranth] bl0x 93e89f5 - vendor.gowin: new platform.
<_whitenotifier-9> [amaranth-lang/amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-734-9dfaa931a3d6f8d60dfccf34a661731b6dbd273c
<_whitenotifier-9> [amaranth] whitequark closed pull request #734: Initial support for gowin vendor via proprietary and apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734
<_whitenotifier-9> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-734-9dfaa931a3d6f8d60dfccf34a661731b6dbd273c - https://github.com/amaranth-lang/amaranth
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to tang-nano [+1/-0/±0] https://github.com/amaranth-lang/amaranth-boards/compare/90960796fdc2...b95781c0213a
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark b95781c - Add support for Tang Nano.
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+4/-0/±38] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/bb72610e3a56...0dd077885fda
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 0dd0778 - Deploying to main from @ amaranth-lang/amaranth@93e89f5632569b06ac5774bedbaf1b5c2106d4c8 🚀
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to ci-required-check [+0/-0/±1] https://github.com/amaranth-lang/amaranth-boards/commit/b5aef31637e7
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark b5aef31 - CI: group all required workflows into one for the status check.
<_whitenotifier-9> [amaranth-boards] whitequark created branch ci-required-check - https://github.com/amaranth-lang/amaranth-boards
<_whitenotifier-9> [amaranth] whitequark opened pull request #860: CI: group all required workflows into one for the status check - https://github.com/amaranth-lang/amaranth/pull/860
<_whitenotifier-9> [amaranth-boards] whitequark opened pull request #230: CI: group all required workflows into one for the status check - https://github.com/amaranth-lang/amaranth-boards/pull/230
<_whitenotifier-9> [amaranth] codecov[bot] commented on pull request #860: CI: group all required workflows into one for the status check - https://github.com/amaranth-lang/amaranth/pull/860#issuecomment-1669562666
<_whitenotifier-9> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to gh-readonly-queue/main/pr-860-93e89f5632569b06ac5774bedbaf1b5c2106d4c8 [+0/-0/±1] https://github.com/amaranth-lang/amaranth/commit/33139ac6cbf5
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 33139ac - CI: group all required workflows into one for the status check.
<_whitenotifier-9> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-860-93e89f5632569b06ac5774bedbaf1b5c2106d4c8 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-9> [amaranth-boards] whitequark closed pull request #230: CI: group all required workflows into one for the status check - https://github.com/amaranth-lang/amaranth-boards/pull/230
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark deleted branch ci-required-check
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth-boards/compare/994a4df196b1...e66483800371
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark e664838 - CI: group all required workflows into one for the status check.
<_whitenotifier-9> [amaranth-boards] whitequark deleted branch ci-required-check - https://github.com/amaranth-lang/amaranth-boards
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to tang-nano [+1/-0/±0] https://github.com/amaranth-lang/amaranth-boards/compare/b95781c0213a...faceee3eb81c
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark faceee3 - Add support for Tang Nano.
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth-boards/compare/e66483800371...658637e6c086
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 658637e - Remove remaining Python 3.7 shims.
<_whitenotifier-9> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/93e89f563256...33139ac6cbf5
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 33139ac - CI: group all required workflows into one for the status check.
<_whitenotifier-9> [amaranth] whitequark closed pull request #860: CI: group all required workflows into one for the status check - https://github.com/amaranth-lang/amaranth/pull/860
<_whitenotifier-9> [amaranth-lang/amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-860-93e89f5632569b06ac5774bedbaf1b5c2106d4c8
<_whitenotifier-9> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-860-93e89f5632569b06ac5774bedbaf1b5c2106d4c8 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±32] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/0dd077885fda...44b1fa9831a2
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 44b1fa9 - Deploying to main from @ amaranth-lang/amaranth@33139ac6cbf535edf5b16aa3f2477675a2985d3f 🚀
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to tang-nano [+0/-0/±1] https://github.com/amaranth-lang/amaranth-boards/compare/faceee3eb81c...8a5e0e576808
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 8a5e0e5 - CI: allow failure on Amaranth 0.3 builds.
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to tang-nano [+0/-0/±1] https://github.com/amaranth-lang/amaranth-boards/compare/8a5e0e576808...3c88d29ecd5c
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 3c88d29 - tmp
<_whitenotifier-9> [YoWASP/nextpnr] whitequark pushed 1 commit to release-0.6 [+0/-0/±1] https://github.com/YoWASP/nextpnr/compare/fc4992d63cc7...e353914035cc
<_whitenotifier-9> [YoWASP/nextpnr] whitequark e353914 - [autorelease] Update dependencies.
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to tang-nano [+0/-0/±1] https://github.com/amaranth-lang/amaranth-boards/compare/3c88d29ecd5c...50ac2f4f8ca9
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 50ac2f4 - CI: allow failure on Amaranth 0.3 builds.
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to tang-nano [+0/-0/±1] https://github.com/amaranth-lang/amaranth-boards/compare/50ac2f4f8ca9...4f347ce41906
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 4f347ce - CI: allow failure on Amaranth 0.3 builds.
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to tang-nano [+0/-0/±1] https://github.com/amaranth-lang/amaranth-boards/compare/4f347ce41906...155b1e98329f
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark 155b1e9 - CI: allow failure on Amaranth 0.3 builds.
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 2 commits to tang-nano [+1/-0/±1] https://github.com/amaranth-lang/amaranth-boards/compare/155b1e98329f...fb2b4b054b73
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark be0fe33 - Add support for Tang Nano.
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark fb2b4b0 - CI: allow failure on Amaranth 0.3 builds.
<_whitenotifier-9> [amaranth-boards] whitequark closed pull request #227: Add support for Tang Nano - https://github.com/amaranth-lang/amaranth-boards/pull/227
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark deleted branch tang-nano
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 2 commits to main [+1/-0/±1] https://github.com/amaranth-lang/amaranth-boards/compare/658637e6c086...a63fc078c2e6
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark d843a7c - Add support for Tang Nano.
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark a63fc07 - CI: allow failure on Amaranth 0.3 builds.
<_whitenotifier-9> [amaranth-boards] whitequark deleted branch tang-nano - https://github.com/amaranth-lang/amaranth-boards
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1287151353
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1287152092
<_whitenotifier-9> [YoWASP/nextpnr] whitequark pushed 1 commit to develop-0.6 [+0/-0/±1] https://github.com/YoWASP/nextpnr/compare/e353914035cc...43b376c29da9
<_whitenotifier-9> [YoWASP/nextpnr] whitequark 43b376c - Update dependencies.
Bluefoxicy has quit [Ping timeout: 252 seconds]
Bluefoxicy has joined #amaranth-lang
<galibert[m]> Hey Catherine , since you’re here and I have way too much time to think. Imagine I want to plonk some metadata on devices (think 6502, 6522…) for things like “how well is it working” and retrieve it somehow at “compile” time, should I put it on the Elaboratable (or Component) or on the Module?
Bluefoxicy has quit [Ping timeout: 245 seconds]
Bluefoxicy has joined #amaranth-lang
<whitequark[cis]> the Module is just a temporary thing that you use for DSL purposes
<whitequark[cis]> nothing should ever be added on it (and this might be enforced in future with __slots__)
<galibert[m]> Sure, but will the Elaboratable tree be visible at some point?
<whitequark[cis]> nope, not unless you make it visible in some other way
<whitequark[cis]> (neither will be the Module)
<whitequark[cis]> Amaranth doesn't currently have any concept of user design hierarchy, which is... an issue, actually
<whitequark[cis]> mostly for probing/debugging
<galibert[m]> Yeah
<galibert[m]> So if I rfc on that, would you like it more on Elaboratable or on Module ?
<whitequark[cis]> neither
<whitequark[cis]> I don't know that I want to add this before the new middle end lands
SpaceCoaster has quit [Ping timeout: 246 seconds]
SpaceCoaster has joined #amaranth-lang
<galibert[m]> Sure, that’s a requirement for… well, pretty much all the kind of things I have interest in
<galibert[m]> If I understand correctly what it entails
<whitequark[cis]> yeah, an internal netlist representation
<whitequark[cis]> I'm thinking that I probably want to record every step of elaboration for when this lands
<whitequark[cis]> i.e. there is a set of netlist entities, and there is the netlist-hierarchy correspondence, and it's a many-to-many one
<_whitenotifier-9> [YoWASP/nextpnr] whitequark pushed 1 commit to develop-0.6 [+0/-0/±1] https://github.com/YoWASP/nextpnr/compare/43b376c29da9...162ed7d4f1c0
<_whitenotifier-9> [YoWASP/nextpnr] whitequark 162ed7d - [autorelease] Update dependencies.
<_whitenotifier-9> [amaranth-boards] whitequark opened pull request #231: Add missing file from commit 994a4df1 - https://github.com/amaranth-lang/amaranth-boards/pull/231
<_whitenotifier-9> [amaranth-boards] whitequark closed pull request #231: Add missing file from commit 994a4df1 - https://github.com/amaranth-lang/amaranth-boards/pull/231
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark pushed 1 commit to main [+1/-0/±0] https://github.com/amaranth-lang/amaranth-boards/compare/a63fc078c2e6...dc82d934b934
<_whitenotifier-9> [amaranth-lang/amaranth-boards] whitequark dc82d93 - Add missing file from commit 994a4df1.
<mcc111[m]> <charlottia> "https://gist.github.com/..."; <- Hi Charlotte, thanks– I got the same result with this FPGA binary, just the one LED lit up and a second LED half lit. In neither your nor adamgreig's binaries did either of the two buttons do anything.
<mcc111[m]> What I am trying to figure out right now is *can I program the FPGA at all*, and if I can't program the FPGA is the problem (1) the platform file, (2) the binary compiler in amaranth, (3) the method by which I am uploading the FPGA.
<mcc111[m]> Since I believe both your and adam's test programs begin the same way (light up one LED, and then on some later time/button signal do something different), I wonder if it might help to construct a program that lights up *some different LED* from the start so I can install it and confirm anything is working at all.
<mcc111[m]> Update no, charlotte 's program worked and possibly so does adamgrieg's! The problem is I was programming the fpga wrong, because Doppler's documentation is wrong. *_*
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
<mcc111[m]> Tested @adamgrieg 's example with (what I now believe to be) the correct programming method and I can't get it to do anything. With the correct programming method all LEDs are blank and holding down a button doesn't change anything I'm not sure how long 2^24 cycles is on this FPGA so I don't know how long I need to be holding it down to see it blink. If that isn't the problem, I assume adam's platform did have some sort of problem
<mcc111[m]> with the LED specification and charlotte's version fo the platform fixes it.
<mcc111[m]> I will proceed with charlotte's version since this is enough to start being able to work through the Amaranth manual.
Bluefoxicy has joined #amaranth-lang
<whitequark[cis]> mcc111: I can take a look at the difference a bit later if you'd like
<mcc111[m]> whitequark[cis]: That would be helpful! I think something weird might still be going on because I get inconsistent button press behavior from boot to boot but charlotte specifically said she didn't put much into fixing that up.
<mcc111[m]> (First test I saw "button works, but lacks debouncing" which is what charlotte said and therefore correct, second test I found that the light only moved once per button press with no "bounce" problem BUT it was inconsistent whether the button press worked at all, in order to make it work I needed to wait a second or so between each press and hold the button down for a moment and sometimes even that did nothing. very strange.)
<mcc111[m]> However like I said my goal was not to get a perfect platform file right now but just to get far enough I can write an amaranth program and be able to tell whether it's properly working on the device.
<mcc111[m]> so i am in a good place now
<_whitenotifier-9> [YoWASP/nextpnr] whitequark pushed 1 commit to release-0.6 [+0/-0/±1] https://github.com/YoWASP/nextpnr/compare/e353914035cc...162ed7d4f1c0
<_whitenotifier-9> [YoWASP/nextpnr] whitequark 162ed7d - [autorelease] Update dependencies.
miek[m] has quit [Quit: Idle timeout reached: 172800s]
<_whitenotifier-9> [amaranth] whitequark opened pull request #861: vendor.gowin: unbreak `dir="o"` pins with `xdr=2` - https://github.com/amaranth-lang/amaranth/pull/861
<_whitenotifier-9> [amaranth] codecov[bot] commented on pull request #861: vendor.gowin: unbreak `dir="o"` pins with `xdr=2` - https://github.com/amaranth-lang/amaranth/pull/861#issuecomment-1669915870
jevinskie[m] has quit [Quit: Idle timeout reached: 172800s]
Bluefoxicy has quit [Ping timeout: 245 seconds]
Bluefoxicy has joined #amaranth-lang
<adamgreig[m]> mcc111: glad it's working! I think Charlotte found a specific issue in mine which is the led only turns on for 1 cycle in 2^24 so you won't see anything
<adamgreig[m]> I wouldn't bother debugging it especially further, just a silly mistake my end from not testing it
<mcc111[m]> adamgreig[m]: Oh! So I *was* reading the code correctly.
<adamgreig[m]> (you could change line 33 to drive the led from the top bit of counter instead of from the overflow output and it would probably work)
<mcc111[m]> 👍
Bluefoxicy has quit [Ping timeout: 245 seconds]
Bluefoxicy has joined #amaranth-lang
josuah has quit [Quit: josuah]
pbsds has quit [Quit: The Lounge - https://thelounge.chat]
pbsds has joined #amaranth-lang
Bluefoxicy has quit [Ping timeout: 256 seconds]
Bluefoxicy has joined #amaranth-lang
<_whitenotifier-9> [amaranth-boards] josuah reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1287525253
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1287525925
<_whitenotifier-9> [amaranth-boards] bl0x reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1287549282
<_whitenotifier-9> [amaranth-boards] whitequark reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1287556281
<_whitenotifier-9> [amaranth-boards] josuah reviewed pull request #208 commit - https://github.com/amaranth-lang/amaranth-boards/pull/208#discussion_r1287560848
<_whitenotifier-9> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to gh-readonly-queue/main/pr-861-33139ac6cbf535edf5b16aa3f2477675a2985d3f [+0/-0/±1] https://github.com/amaranth-lang/amaranth/commit/49a56c446790
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 49a56c4 - vendor.gowin: unbreak `dir="o"` pins with `xdr=2`.
<_whitenotifier-9> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-861-33139ac6cbf535edf5b16aa3f2477675a2985d3f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-9> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/33139ac6cbf5...49a56c446790
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 49a56c4 - vendor.gowin: unbreak `dir="o"` pins with `xdr=2`.
<_whitenotifier-9> [amaranth-lang/amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-861-33139ac6cbf535edf5b16aa3f2477675a2985d3f
<_whitenotifier-9> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-861-33139ac6cbf535edf5b16aa3f2477675a2985d3f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-9> [amaranth] whitequark closed pull request #861: vendor.gowin: unbreak `dir="o"` pins with `xdr=2` - https://github.com/amaranth-lang/amaranth/pull/861
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±32] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/44b1fa9831a2...0767b2625cb4
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 0767b26 - Deploying to main from @ amaranth-lang/amaranth@49a56c446790307b25d0c0e7fe0bd5b0cbafe744 🚀
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
Bluefoxicy has joined #amaranth-lang
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
GenTooMan has quit [Ping timeout: 246 seconds]
Bluefoxicy has joined #amaranth-lang
Bluefoxicy has quit [Ping timeout: 246 seconds]
Bluefoxicy has joined #amaranth-lang
GenTooMan has joined #amaranth-lang
attiegrande[m] has quit [Quit: Idle timeout reached: 172800s]
josuah has joined #amaranth-lang
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
Bluefoxicy has joined #amaranth-lang
Bluefoxicy has quit [Quit: ZNC - http://znc.in]
Bluefoxicy has joined #amaranth-lang
Bluefoxicy has quit [Ping timeout: 246 seconds]
Bluefoxicy has joined #amaranth-lang
Bluefoxicy has quit [Ping timeout: 256 seconds]
Bluefoxicy has joined #amaranth-lang
GenTooMan has quit [Ping timeout: 256 seconds]
povik has quit [Remote host closed the connection]