whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
lf has quit [Ping timeout: 250 seconds]
lf has joined #amaranth-lang
nak has quit [Ping timeout: 240 seconds]
bl0x_ has quit [Ping timeout: 240 seconds]
bl0x_ has joined #amaranth-lang
Degi has quit [Ping timeout: 272 seconds]
Degi has joined #amaranth-lang
emeb_mac has quit [Ping timeout: 252 seconds]
nak has joined #amaranth-lang
nak has quit [Ping timeout: 256 seconds]
nak has joined #amaranth-lang
nak has quit [Ping timeout: 245 seconds]
<_whitenotifier-e> [amaranth-boards] DaveBerkeley opened pull request #196: Added platform file for ColorLight i9 - https://github.com/amaranth-lang/amaranth-boards/pull/196
<Sarayan> style question: should local sync of comb signals part of self? If yes, what is the naming convention?
<Sarayan> sync or comb
<d1b2> <garbile> Where can I find an example of using the built-in iCEBreaker UART? https://github.com/amaranth-lang/amaranth-boards/blob/main/amaranth_boards/icebreaker.py#L27
<d1b2> <garbile> I don't need high-speed like TNT's Verilog code. Simplicity is more important
<d1b2> <garbile> That doesn't seem to use the platform resource, though. There's already a UART on the board that's used to program it, and I wanna use that
<vup> Sarayan: I only make signals that need to be part of self (ie ports) part of self.
<adamgreig[m]> garbile: the platform resource just says "these two fpga pins are called uart tx and uart rx", and on the PCB, they're wired to the FTDI chip that sends them over USB
<adamgreig[m]> there's no UART built in to the FPGA itself; if you instantiate that UART from the amaranth examples folder, you can connect its pins to the uart.tx and uart.rx from your platform
<adamgreig[m]> something like: uart = m.submodules.uart = UART(divisor=12); uart_pins = platform.request("uart"), m.d.comb += uart_pins.tx.o.eq(uart.tx_o), uart.rx_i.eq(uart_pins.rx.i)
<d1b2> <garbile> And then I can just connect to /dev/USB0?
<adamgreig[m]> yea
<d1b2> <garbile> Awesome, I'll try that
<Sarayan> vup: does it have an impact on port naming in cxxrtl?
<vup> port naming?
<Sarayan> yeah, when you reach into the class to look at the state
<Sarayan> I know amaranth does some magic to get the signal names used in python, dunno if that reaches local variable names
<vup> it does
<vup> but not sure you can count internal signals as ports :) anways, you prbabl
<vup> probably needy the higher debug levels to not loose some of them
<d1b2> <garbile> @cr1901 That's awesome, thank you!
emeb_mac has joined #amaranth-lang
nak has joined #amaranth-lang
urja has quit [Ping timeout: 256 seconds]
urja has joined #amaranth-lang