ChanServ changed the topic of #yosys to: Yosys Open SYnthesis Suite: https://github.com/YosysHQ/yosys/ | Channel logs: https://libera.irclog.whitequark.org/yosys/
tpb has quit [Remote host closed the connection]
hrberg has quit [*.net *.split]
bpye has quit [*.net *.split]
derekn has quit [*.net *.split]
nak has quit [*.net *.split]
dormito has quit [*.net *.split]
vancz has quit [*.net *.split]
smkz has quit [*.net *.split]
lambda has quit [*.net *.split]
V has quit [*.net *.split]
derekn has joined #yosys
tpb has joined #yosys
lambda has joined #yosys
hrberg has joined #yosys
nak has joined #yosys
V has joined #yosys
dormito has joined #yosys
smkz has joined #yosys
vancz has joined #yosys
Wolfvak_ is now known as Wolfvak
citypw has joined #yosys
heath1 has quit [Ping timeout: 260 seconds]
heath1 has joined #yosys
jn has quit [Ping timeout: 250 seconds]
jn has joined #yosys
jn has joined #yosys
citypw has quit [Ping timeout: 240 seconds]
FabM has joined #yosys
FabM has joined #yosys
FabM has quit [Changing host]
ec_ has joined #yosys
FabM has quit [Ping timeout: 250 seconds]
krispaul has quit [Read error: Connection reset by peer]
krispaul has joined #yosys
pbsds has quit [Quit: The Lounge - https://thelounge.chat]
pbsds has joined #yosys
FL4SHK has quit [Ping timeout: 240 seconds]
FL4SHK has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
mwk has quit [Ping timeout: 268 seconds]
mwk has joined #yosys
ec_ has quit [Remote host closed the connection]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
mwk has quit [Remote host closed the connection]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
mwk has joined #yosys
mwk has quit [Ping timeout: 255 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
mwk has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
kraiskil has joined #yosys
ec_ has joined #yosys
SpaceCoaster has quit [Read error: Connection reset by peer]
SpaceCoaster has joined #yosys
Lord_Nightmare has quit [Quit: ZNC - http://znc.in]
Lord_Nightmare has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
SpaceCoaster has quit [Ping timeout: 240 seconds]
SpaceCoaster has joined #yosys
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
SpaceCoaster has quit [Read error: Connection reset by peer]
SpaceCoaster has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
SpaceCoaster has quit [Ping timeout: 260 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
SpaceCoaster has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
hrberg has quit [Ping timeout: 240 seconds]
hrberg has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
nonchip has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
nonchip has joined #yosys
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
kraiskil has quit [Ping timeout: 255 seconds]