ChanServ changed the topic of #yosys to: Yosys Open SYnthesis Suite: https://github.com/YosysHQ/yosys/ | Channel logs: https://libera.irclog.whitequark.org/yosys/
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
so-offish has quit [Ping timeout: 240 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
FabM has joined #yosys
FabM has quit [Changing host]
FabM has joined #yosys
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Remote host closed the connection]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
krispaul has joined #yosys
kristianpaul has quit [Ping timeout: 240 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
schaeg has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
FL4SHK has quit [Ping timeout: 250 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
schaeg has quit [Ping timeout: 256 seconds]
schaeg has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
FL4SHK has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
so-offish has joined #yosys
so-offishul has joined #yosys
so-offish has quit [Ping timeout: 240 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
FabM has quit [Quit: Leaving]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
so-offishul has quit [Quit: Leaving]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
nonchip has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
nonchip has joined #yosys
so-offish has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
bjork1intosh has quit [Remote host closed the connection]
ec_ has joined #yosys
bjork1intosh has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
<so-offish> "Unsupported expression on dynamic range select on signal" is pretty broad
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
Sarayan has quit [Ping timeout: 250 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys