ChanServ changed the topic of #yosys to: Yosys Open SYnthesis Suite: https://github.com/YosysHQ/yosys/ | Channel logs: https://libera.irclog.whitequark.org/yosys/
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
acathla has quit [Ping timeout: 248 seconds]
<so-offish> n the case where a localparam calls a function (e.g. $ceil), and then that named localparam is used in a logic expression, I have an internal cell error.
<so-offish> So localparam SOME_VALUE=$ceil(foo); ... if (bar == SOME_VALUE) <- internal cell error
<so-offish> I think I can turn this into a minimal complete expression and share it tomorrow (if it is working how I think it's working).
acathla has joined #yosys
<so-offish> Minimal complete example (sorry)
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
so-offish has quit [Ping timeout: 250 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
schaeg has quit [Remote host closed the connection]
schaeg has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
peeps[zen] has quit [Read error: Connection reset by peer]
peepsalot has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
Sarayan has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
citypw has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
Xark has quit [Ping timeout: 265 seconds]
ec_ has joined #yosys
Xark has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
FabM has joined #yosys
FabM has joined #yosys
FabM has quit [Changing host]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
krispaul has quit [Read error: Connection reset by peer]
krispaul has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
Knarfian_____ has quit [Quit: Connection closed for inactivity]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
kraiskil has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
schaeg has quit [Ping timeout: 256 seconds]
ec_ has quit [Ping timeout: 240 seconds]
schaeg has joined #yosys
ec_ has joined #yosys
Guest54 has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
kraiskil has quit [Ping timeout: 268 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Remote host closed the connection]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
FabM has quit [Remote host closed the connection]
schaeg_ has joined #yosys
schaeg has quit [Quit: Konversation terminated!]
schaeg_ has quit [Ping timeout: 240 seconds]
schaeg_ has joined #yosys
schaeg_ has quit [Ping timeout: 248 seconds]
citypw has quit [Ping timeout: 240 seconds]
schaeg_ has joined #yosys
Guest54 has quit [Ping timeout: 245 seconds]
schaeg_ has quit [Ping timeout: 265 seconds]
so-offish has joined #yosys
schaeg_ has joined #yosys
schaeg_ has quit [Ping timeout: 248 seconds]
schaeg_ has joined #yosys
schaeg_ has quit [Ping timeout: 250 seconds]
schaeg_ has joined #yosys
kraiskil has joined #yosys
kraiskil has quit [Ping timeout: 268 seconds]
schaeg_ has quit [Ping timeout: 265 seconds]
schaeg_ has joined #yosys
schaeg_ has quit [Ping timeout: 246 seconds]
schaeg_ has joined #yosys
schaeg_ has quit [Ping timeout: 240 seconds]
cr1901 has quit [Remote host closed the connection]
cr1901 has joined #yosys
cr1901 has quit [Remote host closed the connection]
cr1901 has joined #yosys
schaeg_ has joined #yosys
Xark has quit [Ping timeout: 240 seconds]
Xark has joined #yosys
nonchip has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
nonchip has joined #yosys
schaeg_ has quit [Ping timeout: 248 seconds]