ChanServ changed the topic of #yosys to: Yosys Open SYnthesis Suite: https://github.com/YosysHQ/yosys/ | Channel logs: https://libera.irclog.whitequark.org/yosys/
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
<jleightcap> so (i think) options are parsed 1. argv, 2. -s, 3. -p
<jleightcap> so passing source in (1) means any -I options in (2) (3) aren't reached
<jleightcap> and `read_verilog -Ifoo source` in (3) fails in (2)
<jleightcap> so it seems (2) is the only place this logic can go, but then I have to hard-code source
<jleightcap> parsed isn't correct, they're _processed_ in that order, rather :-)
<jleightcap> a CLI `-I` that applies to argv source would make this nice -- would a PR be welcome?
<lofty> Eeeeh, it possibly would, although I don't know who would take a look at it
<lofty> The default Yosys verilog frontend scares everyone
<jleightcap> is there a better tool for this?
ec_ has quit [Ping timeout: 240 seconds]
<jleightcap> i'd prefer not to exclude source with `include directives when sharing a script
<jleightcap> TCL script, maybe reading from environment variables?
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
bjork1intosh has quit [Remote host closed the connection]
bjork1intosh has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Remote host closed the connection]
ec_ has joined #yosys
so-offish has quit [Ping timeout: 250 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
FabM has joined #yosys
FabM has joined #yosys
FabM has quit [Changing host]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
kristianpaul has quit [Read error: Connection reset by peer]
ec_ has quit [Ping timeout: 240 seconds]
kristianpaul has joined #yosys
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
AdamHorden has joined #yosys
srk has quit [Remote host closed the connection]
srk has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
Sarayan has quit [Ping timeout: 250 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
Sarayan has joined #yosys
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
krispaul has joined #yosys
kristianpaul has quit [Ping timeout: 240 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
FabM has quit [Remote host closed the connection]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
so-offish has joined #yosys
so-offish has quit [Ping timeout: 265 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
krispaul has quit [Quit: WeeChat 2.3]
kristianpaul has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ecs has quit [Read error: Connection reset by peer]
ecs has joined #yosys
srk has quit [Quit: ZNC 1.8.1 - https://znc.in]
shoragan has quit [Remote host closed the connection]
sauce has quit [Remote host closed the connection]
srk has joined #yosys
shoragan has joined #yosys
sauce has joined #yosys
ZipCPU has quit [Quit: ZNC 1.7.5+deb4 - https://znc.in]
ZipCPU has joined #yosys
kraiskil has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
kraiskil has quit [Ping timeout: 256 seconds]
kraiskil has joined #yosys
kraiskil has quit [Ping timeout: 268 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
kraiskil has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
AdamHorden has quit [Ping timeout: 256 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
AdamHorden has joined #yosys
ec_ has joined #yosys
AdamHorden has quit [Ping timeout: 268 seconds]
kraiskil has quit [Ping timeout: 246 seconds]
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
AdamHorden has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
AdamHorden has quit [Ping timeout: 240 seconds]
AdamHorden has joined #yosys
AdamHorden has quit [Ping timeout: 250 seconds]
AdamHorden has joined #yosys
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
AdamHorden has quit [Quit: adam.horden.me]
AdamHorden has joined #yosys
AdamHorden has quit [Client Quit]
AdamHorden has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
nonchip has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
nonchip has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Remote host closed the connection]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
lethalbit has quit [Quit: kill -9 -1]
lethalbit has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
philtor has quit [Ping timeout: 240 seconds]
so-offish has joined #yosys
philtor has joined #yosys
ec_ has quit [Ping timeout: 240 seconds]
ec_ has joined #yosys
philtor has quit [Quit: Leaving]
philtor has joined #yosys