ChanServ changed the topic of #yosys to: Yosys Open SYnthesis Suite: https://github.com/YosysHQ/yosys/ | Channel logs: https://libera.irclog.whitequark.org/yosys/
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
mewt has quit [Ping timeout: 276 seconds]
mewt has joined #yosys
mewt has quit [Client Quit]
mewt has joined #yosys
bl0x_ has joined #yosys
bl0x has quit [Ping timeout: 240 seconds]
citypw has joined #yosys
Forty-Bot has quit [Ping timeout: 265 seconds]
Forty-Bot has joined #yosys
singham has joined #yosys
<singham> Does anyone know the details about file formats gdsii, oasis and edif?
<singham> gdsii is open and not free
<singham> When it is said not free, what do they mean?
<singham> And what's the role of edif format's open and free status?
<singham> The pdfs of edif are paid
singham has quit [Remote host closed the connection]
tnt has quit [Ping timeout: 248 seconds]
tnt has joined #yosys
singham has joined #yosys
<singham> ?
singham has quit [Remote host closed the connection]
singham has joined #yosys
singham has left #yosys [#yosys]
bpye has quit [Quit: Ping timeout (120 seconds)]
bpye has joined #yosys
bjorkint0sh has joined #yosys
bjorkintosh has quit [Ping timeout: 260 seconds]
sugarbeet has quit [Ping timeout: 248 seconds]
sugarbeet has joined #yosys
<gatecat> so-offish: I didn't know Yosys supported these hot comment type attributes at all.... but this should work fine with a Verilog2001 style one
<gatecat> localparam _STYLE = (STYLE == "") ? "block_ram" : STYLE;
<gatecat> (* syn_ramstyle = _STYLE *) reg [WIDTH-1:0] ram [0:SIZE-1];
<tnt> Oh wow, that works ?
<gatecat> unless something goes wrong with string processing, I think so
<gatecat> I did this before fine `(* keep, BEL=$sformatf("X%dY%d.FAB2RAM_A1", x, y0) *)`
<gatecat> (x and y0 are localparams)
<tnt> Oh that's nice, I always had to resource to tricks to get the BEL attribute programmatically set. Good to know it's actually possible !
so-offish has quit [Ping timeout: 256 seconds]
somlo_ has joined #yosys
somlo has quit [Read error: Connection reset by peer]
hrberg has joined #yosys
so-offish has joined #yosys
citypw has quit [Ping timeout: 255 seconds]
<so-offish> gatecat: I'll do it that way; it fits better with the "vibe" of the tool anyway
<so-offish> Speaking of vibes: how is everyone configuring their EHXPLLL? An MMCM on Xilinx parts is easy: set multipliers, dividers, done...
<so-offish> There is a PLL tool in prjtrellis/libtrellis/tools
bjorkint0sh has quit [Quit: Leaving]
<so-offish> and if you use it from the command line, it gives you SOME output... but if you tell it to output to a file? It gives you a whole module!
bjorkintosh has joined #yosys
bjorkintosh has quit [Changing host]
bjorkintosh has joined #yosys
somlo_ has quit [Read error: Connection reset by peer]
somlo has joined #yosys
nonchip has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
nonchip has joined #yosys