whitequark changed the topic of #nmigen to: nMigen hardware description language · code https://github.com/nmigen · logs https://libera.irclog.whitequark.org/nmigen
<_whitenotifier-d> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+0/-0/±1] https://git.io/JECeq
<_whitenotifier-d> [YoWASP/nextpnr] whitequark 26fb732 - Update dependencies.
Degi has joined #nmigen
GenTooMan has quit [Ping timeout: 250 seconds]
GenTooMan has joined #nmigen
GenTooMan has quit [Ping timeout: 250 seconds]
GenTooMan has joined #nmigen
GenTooMan has quit [Ping timeout: 250 seconds]
GenTooMan has joined #nmigen
Sarayan has quit [Remote host closed the connection]
emeb_mac has quit [Quit: Leaving.]
cr1901 has quit [Ping timeout: 240 seconds]
<_whitenotifier-d> [nmigen] antonblanchard opened issue #630: Undriven signal ends up as module port - https://git.io/JElnI
cr1901 has joined #nmigen
cr1901 has quit [Read error: Connection reset by peer]
cr1901 has joined #nmigen
GenTooMan has quit [Ping timeout: 250 seconds]
GenTooMan has joined #nmigen
GenTooMan has quit [Ping timeout: 250 seconds]
GenTooMan has joined #nmigen
peepsalot has quit [Ping timeout: 240 seconds]
peepsalot has joined #nmigen
modwizcode has joined #nmigen
<modwizcode> For the simulation vcd writer you can add traces to it specifically, is there some way to reference the clock for that?
<tpw_rules> modwizcode: ClockSignal("sync") or so
<modwizcode> think we tried that
<modwizcode> AttributeError: 'ClockSignal' object has no attribute 'name'
<modwizcode> If we just make a signal named clk forcibly to try to hack it to work, that of course gets a unique name so doesn't work
<tpw_rules> ah hm, then maybe you can specify a name when adding the trace
<modwizcode> has to be a Signal
<tpw_rules> or the ClockSignal is a regular signal so you can just say vcd_clk = Signal(); m.d.comb += vcd_clk.eq(ClockSignal("sync"))
<tpw_rules> then add vcd_clk as a trace
<modwizcode> hmm we'll try that
<modwizcode> oh wow that actually worked
<modwizcode> thank you
<modwizcode> gonna file an issue for this though still
smkz has quit [Quit: reboot]
smkz has joined #nmigen
<_whitenotifier-d> [nmigen] modwizcode commented on issue #495: Out-of-box CXXSim fails on MacOS - https://git.io/JE8YM
modwizcode has quit [Quit: Ping timeout (120 seconds)]
modwizcode has joined #nmigen
modwizcode has quit [Quit: Ping timeout (120 seconds)]
GenTooMan has quit [Ping timeout: 240 seconds]
GenTooMan has joined #nmigen
<lkcl> anton hi i'll cross-reference about this for you, i'm not on github. https://github.com/nmigen/nmigen/issues/630
<lkcl> it's not actually a bug, it's a matter of expectations.
<lkcl> what you probably are expecting is that because val_out is part of the class Test(), that it should be the only signal out
<lkcl> possibly
<lkcl> nmigen doesn't quite work that way: the fact that the Signal exists (or not) has nothing to do with whether it's part of a class (or not), all nmigen actually cares about is, "has it been added to a domain"
<lkcl> in this case, you added it to a combinatorial domain.
<lkcl> however... val_out is assigned *to* something.
<lkcl> therefore, nmigen goes, automatically, "oh, there's now two signals".
<lkcl> the fact that you didn't put int_sig as self.int_sig is *completely* irrelevant
<lkcl> what behaviour are you expecting, if int_sig was or was not added to class Test?
<lkcl> are you expecting int_sig to be ignored?
<lkcl> if so, what value should be assigned to val_out?
<lkcl> should it be left completely non-deterministically set to a random or undefined value?
<lkcl> no, of course not
<lkcl> so the contract is: if you did not *explicitly* say which ports you wanted, then nmigen *automatically works them out for you*.
<lkcl> and, because you forgot to add an argument to the verilog.convert function explicitly listing which ports you wanted, nmigen did a complete tree-walk for you and, on finding a list of assignments, back-calculated which ones were inputs and which were outputs.
<lkcl> thus - there is no bug
<lkcl> hth
emeb_mac has joined #nmigen
XgF has quit [Remote host closed the connection]
XgF has joined #nmigen
ChuckM has joined #nmigen
ChuckM has left #nmigen [http://quassel-irc.org - Chat comfortably. Anywhere.]
ChuckM has joined #nmigen
V has joined #nmigen
tpw_rules has quit [Excess Flood]
tpw_rules has joined #nmigen
ldm is now known as Luke
lf has quit [Ping timeout: 250 seconds]
lf has joined #nmigen