whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · play https://amaranth-lang.org/play/ · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
lf has quit [Ping timeout: 256 seconds]
lf_ has joined #amaranth-lang
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 240 seconds]
Degi_ is now known as Degi
SpaceCoaster has quit [Quit: Bye]
SpaceCoaster has joined #amaranth-lang
<mcc111[m]> <whitequark[cis]> "virtually soc-related things are..." <- then the pocket might be more interesting in that it has a mildly demanding capability set (video, sound, must interact with verilog via registers)
<mcc111[m]> <tpw_rules> "mcc111: do you have specific..." <- i wanna make a drop-in-or-close replacement for the openfpga-litex core, which is buggy and hard to extend because litex is brittle
<tpw_rules> i don't know that soc is ready to be that, or aiming to be that
<tpw_rules> really the only thing in is the CSR system and a couple minor peripherals. you could write an adapter from the analogue bus, but the rest you would have to come up with yourself
<tpw_rules> the CSR system is very good and powerful indeed though
<tpw_rules> (there's also some wishbone stuff but i don't know anything about that)
<tpw_rules> in my design i am using it with some custom audio peripherals and the initiator is the arm cpu in a cyclone v soc
<tpw_rules> it should not be too hard to mix it with a wishbone compatible cpu though
<tpw_rules> mcc111[m]: what litex peripherals does the openfpga-litex core use?
<mcc111[m]> <tpw_rules> "really the only thing in is..." <- i can write adapters as long as i have a solid foundation
<mcc111[m]> tpw_rules: i don't know enough about the core to answer that.
<tpw_rules> oh. it credited you
<tpw_rules> looks like the dram and video controllers
<tpw_rules> there's no DMA yet in amaranth-soc either
<tpw_rules> mcc111[m]: perhaps those features could be prototyped; i'm not sure what the maintainers have for ideas there
<mcc111[m]> dma is important for video. the model openfpga-litex is using i don't think it's needed however.
<tpw_rules> does it use a framebuffer?
<tpw_rules> or something more old-skool?
<tpw_rules> there is a bus arbiter and writing a little state machine with a big fifo to do video should not be hard. i would be a bit more worried about the dram controller but that could be borrowed and sequestered
<tpw_rules> (or just use BRAM...)
<mcc111[m]> It currently uses a framebuffer.
<mcc111[m]> openfpga-litex maps different kinds of ram into different ranges of memory space, so there's like a chunk of memory that's slightly faster i think. probably it would be ok if "video memory" were restricted on this variant.
<mcc111[m]> But I'd like it if I could fit like, I dunno, at least 8x the size of the screen in the available-for-video memory.
<tpw_rules> the pocket has the psram and stuff too, maybe we don't even need the dram
FFY00_ has joined #amaranth-lang
FFY00 has quit [Ping timeout: 255 seconds]
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1327: test_build_res: fix naming, squash warnings. - https://github.com/amaranth-lang/amaranth/pull/1327
<_whitenotifier-6> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1327-eebffc15d6d35c08acae67475072caac7215adef - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/eebffc15d6d3...16f187e7fa12
<_whitenotifier-6> [amaranth-lang/amaranth] wanda-phi 16f187e - test_build_res: fix naming, squash warnings.
<_whitenotifier-5> [amaranth] whitequark closed pull request #1327: test_build_res: fix naming, squash warnings. - https://github.com/amaranth-lang/amaranth/pull/1327
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1327-eebffc15d6d35c08acae67475072caac7215adef - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/0c66a917b7b8...761494159f30
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 7614941 - Deploying to main from @ amaranth-lang/amaranth@16f187e7fa128fe013ea347c408772206583cb63 🚀
adamgreig[m] has quit [Quit: Idle timeout reached: 172800s]
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1328: sim: raise an error when overriding a combinationally-driven signal. - https://github.com/amaranth-lang/amaranth/pull/1328
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1328-16f187e7fa128fe013ea347c408772206583cb63 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±5] https://github.com/amaranth-lang/amaranth/compare/16f187e7fa12...122be7849ccc
<_whitenotifier-6> [amaranth-lang/amaranth] wanda-phi 122be78 - sim: raise an error when overriding a combinationally-driven signal.
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1328-16f187e7fa128fe013ea347c408772206583cb63 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth] whitequark closed pull request #1328: sim: raise an error when overriding a combinationally-driven signal. - https://github.com/amaranth-lang/amaranth/pull/1328
<_whitenotifier-6> [amaranth] whitequark closed issue #557: Python testbenches should not be able to assign combinatorially driven signals - https://github.com/amaranth-lang/amaranth/issues/557
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 193ec1a - Deploying to main from @ amaranth-lang/amaranth@122be7849ccc6258ea9d6cdf47c96f1ff1c63608 🚀
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/761494159f30...193ec1ad521e
<_whitenotifier-6> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1326-122be7849ccc6258ea9d6cdf47c96f1ff1c63608 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth] whitequark closed issue #377: Our recommended way to write testbenches is racy - https://github.com/amaranth-lang/amaranth/issues/377
<_whitenotifier-6> [amaranth] whitequark commented on issue #377: Our recommended way to write testbenches is racy - https://github.com/amaranth-lang/amaranth/issues/377#issuecomment-2053597627
<_whitenotifier-6> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-1/±11] https://github.com/amaranth-lang/amaranth/compare/122be7849ccc...8bf4f77616cb
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi 8bf4f77 - sim: use `Format.*` for VCD output, remove `hdl._repr`.
<_whitenotifier-6> [amaranth] whitequark closed pull request #1326: sim: use `Format.*` for VCD output. - https://github.com/amaranth-lang/amaranth/pull/1326
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1326-122be7849ccc6258ea9d6cdf47c96f1ff1c63608 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±39] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/193ec1ad521e...f853e2e8b615
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] f853e2e - Deploying to main from @ amaranth-lang/amaranth@8bf4f77616cba3efda53ce9a15217e64fff70fac 🚀
<_whitenotifier-6> [amaranth] wanda-phi closed issue #1293: Tracking issue for RFC 65: Special formatting for structures and enums - https://github.com/amaranth-lang/amaranth/issues/1293
frgo has quit [Remote host closed the connection]
frgo has joined #amaranth-lang
<_whitenotifier-5> [amaranth] whitequark opened pull request #1329: pyproject: use `.dev{distance}`, not `+{node}`, for non-release builds - https://github.com/amaranth-lang/amaranth/pull/1329
<_whitenotifier-6> [amaranth] wanda-phi opened pull request #1330: hdl._nir: add combinational cycle detection. - https://github.com/amaranth-lang/amaranth/pull/1330
frgo has quit [Ping timeout: 268 seconds]
frgo has joined #amaranth-lang
<_whitenotifier-5> [amaranth] wanda-phi closed issue #1188: Add support for `ValueCastable` formatting - https://github.com/amaranth-lang/amaranth/issues/1188
<_whitenotifier-5> [amaranth] wanda-phi commented on issue #1188: Add support for `ValueCastable` formatting - https://github.com/amaranth-lang/amaranth/issues/1188#issuecomment-2053630630
<_whitenotifier-6> [amaranth] whitequark closed issue #1101: Tracer should probably ignore a single leading `_` in names - https://github.com/amaranth-lang/amaranth/issues/1101
<_whitenotifier-6> [amaranth] whitequark commented on issue #1101: Tracer should probably ignore a single leading `_` in names - https://github.com/amaranth-lang/amaranth/issues/1101#issuecomment-2053636472
<_whitenotifier-6> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1329-8bf4f77616cba3efda53ce9a15217e64fff70fac - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±3] https://github.com/amaranth-lang/amaranth/compare/8bf4f77616cb...3fbed68365fb
<_whitenotifier-6> [amaranth-lang/amaranth] whitequark 3fbed68 - pyproject: use `.dev{distance}`, not `+{node}`, for non-release builds.
<_whitenotifier-6> [amaranth] whitequark closed pull request #1329: pyproject: use `.dev{distance}`, not `+{node}`, for non-release builds - https://github.com/amaranth-lang/amaranth/pull/1329
<_whitenotifier-5> [amaranth] whitequark closed issue #1229: Fix failing uploads to TestPyPI from CI - https://github.com/amaranth-lang/amaranth/issues/1229
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1329-8bf4f77616cba3efda53ce9a15217e64fff70fac - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/f853e2e8b615...6fd4ef463c99
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 6fd4ef4 - Deploying to main from @ amaranth-lang/amaranth@3fbed68365fb4f0ab5b14e305167467845adbd95 🚀
<whitequark[cis]> we're now publishing every version of Amaranth on TestPyPI https://github.com/amaranth-lang/amaranth/actions/runs/8673664587/job/23785275359
<whitequark[cis]> s/version/commit/
<_whitenotifier-5> [amaranth] whitequark reviewed pull request #1330 commit - https://github.com/amaranth-lang/amaranth/pull/1330#discussion_r1564038059
<_whitenotifier-5> [amaranth] whitequark reviewed pull request #1330 commit - https://github.com/amaranth-lang/amaranth/pull/1330#discussion_r1564038391
<_whitenotifier-6> [amaranth] whitequark reviewed pull request #1330 commit - https://github.com/amaranth-lang/amaranth/pull/1330#discussion_r1564038441
<_whitenotifier-6> [amaranth] whitequark reviewed pull request #1330 commit - https://github.com/amaranth-lang/amaranth/pull/1330#discussion_r1564039991
<_whitenotifier-6> [amaranth] codecov[bot] commented on pull request #1330: hdl._nir: add combinational cycle detection. - https://github.com/amaranth-lang/amaranth/pull/1330#issuecomment-2053654735
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1330-3fbed68365fb4f0ab5b14e305167467845adbd95 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±4] https://github.com/amaranth-lang/amaranth/compare/3fbed68365fb...877a1062a678
<_whitenotifier-6> [amaranth-lang/amaranth] wanda-phi 877a106 - hdl._nir: add combinational cycle detection.
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1330-3fbed68365fb4f0ab5b14e305167467845adbd95 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] whitequark closed pull request #1330: hdl._nir: add combinational cycle detection. - https://github.com/amaranth-lang/amaranth/pull/1330
<_whitenotifier-6> [amaranth] whitequark closed issue #704: Detect and reject netlists with combinatorial loops - https://github.com/amaranth-lang/amaranth/issues/704
<_whitenotifier-5> [amaranth] whitequark closed issue #1143: infinite loop in NIR builder on a trivial comb loop - https://github.com/amaranth-lang/amaranth/issues/1143
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/6fd4ef463c99...229a809b9211
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 229a809 - Deploying to main from @ amaranth-lang/amaranth@877a1062a67811b12000fe1f46e0c93e9b4dbc7b 🚀
notgull has joined #amaranth-lang
notgull has quit [Ping timeout: 260 seconds]
<_whitenotifier-6> [amaranth] X-Illuminati commented on issue #1301: Question: Combinational vs Combinatorial - https://github.com/amaranth-lang/amaranth/issues/1301#issuecomment-2053698603
<_whitenotifier-5> [amaranth] whitequark opened issue #1331: Unclear error message on `m.domains.cd_tx = ClockDomain()` - https://github.com/amaranth-lang/amaranth/issues/1331
<_whitenotifier-5> [amaranth] X-Illuminati opened pull request #1332: Documentation: Changing "combinatorial" to "combinational" - https://github.com/amaranth-lang/amaranth/pull/1332
<cr1901> In my text box was "Why does Amaranth not use tox?" Trying to write a pytest plugin for Amaranth sim, where pytest ecosystem prefers tox, and I think I understand (doesn't interact very well with pdm).
<whitequark[cis]> it doesn't use tox because i don't see a point in using tox
<cr1901> (oh, and GHA matrix can do the same thing, more or less)
<_whitenotifier-5> [amaranth] whitequark opened pull request #1333: docs: begin building the documentation style guide - https://github.com/amaranth-lang/amaranth/pull/1333
<_whitenotifier-5> [amaranth] whitequark edited pull request #1333: docs: begin building the documentation style guide - https://github.com/amaranth-lang/amaranth/pull/1333
<_whitenotifier-6> [amaranth] X-Illuminati commented on pull request #1332: Documentation: Changing "combinatorial" to "combinational" - https://github.com/amaranth-lang/amaranth/pull/1332#issuecomment-2053758852
<_whitenotifier-5> [amaranth] X-Illuminati deleted a comment on pull request #1332: Documentation: Changing "combinatorial" to "combinational" - https://github.com/amaranth-lang/amaranth/pull/1332#issuecomment-2053758852
<whitequark[cis]> pdm can now install multiple python versions too
<cr1901> I don't plan on submitting a plugin upstream, but I still feel like I should use best practices for their ecosystem. So now I have "pdm creates a venv under .venv, which includes tox, and tox-pdm, and then pdm invokes tox, which creates a venv under .tox, installs pdm in that tox env, invokes pdm to read pyproject.toml at the root, and _then_ installs deps in the tox venv"
<cr1901> That was a word salad... wtaf?!
<cr1901> pdm can now install multiple python versions too <-- oh?
<cr1901> I'll have to check that out
<whitequark[cis]> yeah I don't think I want any of that unless it's extremely well motivated
<whitequark[cis]> pdm python --help
<cr1901> "Executable: C:/Users/William/AppData/Local/pdm/pdm/python/cpython@3.8.18/python.exe" holy hell... ._.
<cr1901> wow :o
<_whitenotifier-5> [amaranth] X-Illuminati commented on pull request #1332: Documentation: Changing "combinatorial" to "combinational" - https://github.com/amaranth-lang/amaranth/pull/1332#issuecomment-2053759622
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1333-877a1062a67811b12000fe1f46e0c93e9b4dbc7b - https://github.com/amaranth-lang/amaranth
<cr1901> I'd like to note that tox can't install python interpreters, so maybe pdm is a superset of what tox can do (just inertia means projects haven't migrated/use it)
<_whitenotifier-5> [amaranth-lang/amaranth] whitequark 8c1c9f2 - docs: begin building the documentation style guide.
<_whitenotifier-6> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±5] https://github.com/amaranth-lang/amaranth/compare/877a1062a678...8c1c9f2d260e
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1333-877a1062a67811b12000fe1f46e0c93e9b4dbc7b - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth] whitequark closed pull request #1333: docs: begin building the documentation style guide - https://github.com/amaranth-lang/amaranth/pull/1333
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±45] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/229a809b9211...bd6ad46556e1
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] bd6ad46 - Deploying to main from @ amaranth-lang/amaranth@8c1c9f2d260ef3e4e8c279db708ae5d6152a49b2 🚀
FFY00_ has quit [Read error: Connection reset by peer]
<cr1901> http://gopher.wdj-consulting.com:70/paste/0fffa1a2-5e06-4a4c-bc14-311e57937895.txt Anybody familiar w/ pytest have any complaints/feedback on this?
<cr1901> (Ignore the "for clk in clks.args[0]" line. I haven't decided how multiclock sim should be represented)
notgull has joined #amaranth-lang
lf_ has quit [Ping timeout: 256 seconds]
lf has joined #amaranth-lang