whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · play https://amaranth-lang.org/play/ · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
richardeoin has quit [Ping timeout: 268 seconds]
richardeoin has joined #amaranth-lang
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 268 seconds]
Degi_ is now known as Degi
nates93[m] has joined #amaranth-lang
<nates93[m]> Re: convincing others to use Amaranth: how do we prove that amaranth compiled designs are 1. efficient (in terms of area usage) and 2. correct? I understand Clifford/Yosys used VlogHammer to compare synthesis tool results and simulation results btwn simulators. Is there a similar method for Amaranth besides just running very complex designs (e.g. a processor) and verifying correctness (and size, power, etc.) via software?
<nates93[m]> Have a small slide deck that may possibly make it here
<tpw_rules> amaranth is pretty 1:1 to verilog, what do you need to compare?
<Darius> Verilog minus a lot of the footguns anyway
<tpw_rules> well yeah it's a one way thing. but i think there's not really any efficiency impact. there is a very small one in avoiding footguns
<tpw_rules> as for correct i'm not sure
<jn> formal verification (using symbiyosys) comes to mind for the correctness question, but i don't know enough about it to go into detail
josuah has quit [Remote host closed the connection]
notgull has joined #amaranth-lang
notgull has quit [Ping timeout: 272 seconds]
<_whitenotifier-6> [rfcs] whitequark reviewed pull request #41 commit - https://github.com/amaranth-lang/rfcs/pull/41#discussion_r1549025703
<_whitenotifier-6> [rfcs] whitequark reviewed pull request #41 commit - https://github.com/amaranth-lang/rfcs/pull/41#discussion_r1549043297
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1261: hdl.{_ast,_dsl}: factor out the pattern normalization logic. - https://github.com/amaranth-lang/amaranth/pull/1261
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1261: hdl.{_ast,_dsl}: factor out the pattern normalization logic. - https://github.com/amaranth-lang/amaranth/pull/1261#issuecomment-2033730342
<_whitenotifier-6> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1261-0e4c2de7257069dc37e9ddde81ee7806fb8cfd8f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±7] https://github.com/amaranth-lang/amaranth/compare/0e4c2de72570...cd6cbd71caa6
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi cd6cbd7 - hdl.{_ast,_dsl}: factor out the pattern normalization logic.
<_whitenotifier-6> [amaranth] whitequark closed pull request #1261: hdl.{_ast,_dsl}: factor out the pattern normalization logic. - https://github.com/amaranth-lang/amaranth/pull/1261
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1261-0e4c2de7257069dc37e9ddde81ee7806fb8cfd8f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/8ed87495ba86...70511a47ecbf
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 70511a4 - Deploying to main from @ amaranth-lang/amaranth@cd6cbd71caa64f3065502e40e97888cb365deef4 🚀
<_whitenotifier-6> [amaranth] wanda-phi opened pull request #1262: hdl._ast: change `Switch` to operate on list of cases. - https://github.com/amaranth-lang/amaranth/pull/1262
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1262: hdl._ast: change `Switch` to operate on list of cases. - https://github.com/amaranth-lang/amaranth/pull/1262#issuecomment-2033827722
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1262-cd6cbd71caa64f3065502e40e97888cb365deef4 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±8] https://github.com/amaranth-lang/amaranth/compare/cd6cbd71caa6...2eb62a8b4977
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi 2eb62a8 - hdl._ast: change `Switch` to operate on list of cases.
<_whitenotifier-6> [amaranth] whitequark closed pull request #1262: hdl._ast: change `Switch` to operate on list of cases. - https://github.com/amaranth-lang/amaranth/pull/1262
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1262-cd6cbd71caa64f3065502e40e97888cb365deef4 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/70511a47ecbf...05183270e211
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 0518327 - Deploying to main from @ amaranth-lang/amaranth@2eb62a8b4977f6ca46ab49052365bb3e61b261e3 🚀
<_whitenotifier-5> [amaranth] whitequark closed issue #1260: Wrong verilog for combinatorial module - https://github.com/amaranth-lang/amaranth/issues/1260
<_whitenotifier-6> [amaranth] whitequark commented on issue #1260: Wrong verilog for combinatorial module - https://github.com/amaranth-lang/amaranth/issues/1260#issuecomment-2033868732
<_whitenotifier-5> [amaranth] whitequark opened pull request #1263: docs/{guide,reference}: clarify semantics of `a.any()` vs `a.bool()` - https://github.com/amaranth-lang/amaranth/pull/1263
<_whitenotifier-5> [amaranth] whitequark commented on issue #1219: doc: Reduction operators: .any() vs .bool() - https://github.com/amaranth-lang/amaranth/issues/1219#issuecomment-2033928552
<_whitenotifier-6> [amaranth] codecov[bot] commented on pull request #1263: docs/{guide,reference}: clarify semantics of `a.any()` vs `a.bool()` - https://github.com/amaranth-lang/amaranth/pull/1263#issuecomment-2033935369
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1264: hdl._ast: add `SwitchValue`, reimplement `ArrayProxy` with it. - https://github.com/amaranth-lang/amaranth/pull/1264
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1264: hdl._ast: add `SwitchValue`, reimplement `ArrayProxy` with it. - https://github.com/amaranth-lang/amaranth/pull/1264#issuecomment-2034019068
<_whitenotifier-5> [amaranth] whitequark reviewed pull request #1264 commit - https://github.com/amaranth-lang/amaranth/pull/1264#discussion_r1549331107
<_whitenotifier-6> [amaranth] whitequark reviewed pull request #1264 commit - https://github.com/amaranth-lang/amaranth/pull/1264#discussion_r1549343907
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1264-2eb62a8b4977f6ca46ab49052365bb3e61b261e3 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1265: hdl._ir: raise an error when an elaboratable is duplicated in hierarchy. - https://github.com/amaranth-lang/amaranth/pull/1265
<_whitenotifier-6> [amaranth-lang/amaranth] wanda-phi 2cf9bbf - hdl._ast: add `SwitchValue`, reimplement `ArrayProxy` with it.
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±7] https://github.com/amaranth-lang/amaranth/compare/2eb62a8b4977...2cf9bbf30686
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1264-2eb62a8b4977f6ca46ab49052365bb3e61b261e3 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] whitequark closed pull request #1264: hdl._ast: add `SwitchValue`, reimplement `ArrayProxy` with it. - https://github.com/amaranth-lang/amaranth/pull/1264
<_whitenotifier-6> [amaranth] codecov[bot] commented on pull request #1265: hdl._ir: raise an error when an elaboratable is duplicated in hierarchy. - https://github.com/amaranth-lang/amaranth/pull/1265#issuecomment-2034146124
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/05183270e211...d1100250fc3c
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] d110025 - Deploying to main from @ amaranth-lang/amaranth@2cf9bbf306864a1e1d3358020a3c71f3a09b236f 🚀
<_whitenotifier-6> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1265-2cf9bbf306864a1e1d3358020a3c71f3a09b236f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±3] https://github.com/amaranth-lang/amaranth/compare/2cf9bbf30686...466536efcf82
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi 466536e - hdl._ir: raise an error when an elaboratable is duplicated in hierarchy.
<_whitenotifier-6> [amaranth] whitequark closed pull request #1265: hdl._ir: raise an error when an elaboratable is duplicated in hierarchy. - https://github.com/amaranth-lang/amaranth/pull/1265
<_whitenotifier-5> [amaranth] whitequark closed issue #1194: Detect and warn about elaboratables added more than once into the hierarchy - https://github.com/amaranth-lang/amaranth/issues/1194
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1265-2cf9bbf306864a1e1d3358020a3c71f3a09b236f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/d1100250fc3c...4e9ce5fa1eda
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 4e9ce5f - Deploying to main from @ amaranth-lang/amaranth@466536efcf8222e485b1291e77b40b518e596d73 🚀
<_whitenotifier-5> [amaranth] whitequark opened pull request #1266: Implement RFC 61: Minimal streams - https://github.com/amaranth-lang/amaranth/pull/1266
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1267: hdl._ast: Implement `Mux` in terms of `SwitchValue`. - https://github.com/amaranth-lang/amaranth/pull/1267
<_whitenotifier-6> [amaranth] codecov[bot] commented on pull request #1266: Implement RFC 61: Minimal streams - https://github.com/amaranth-lang/amaranth/pull/1266#issuecomment-2034246631
<_whitenotifier-6> [amaranth] codecov[bot] commented on pull request #1267: hdl._ast: Implement `Mux` in terms of `SwitchValue`. - https://github.com/amaranth-lang/amaranth/pull/1267#issuecomment-2034247186
<_whitenotifier-6> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1267-466536efcf8222e485b1291e77b40b518e596d73 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth] whitequark edited pull request #1266: Implement RFC 61: Minimal streams - https://github.com/amaranth-lang/amaranth/pull/1266
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±6] https://github.com/amaranth-lang/amaranth/compare/466536efcf82...606ebcd7a9a9
<_whitenotifier-6> [amaranth-lang/amaranth] wanda-phi 606ebcd - hdl._ast: Implement `Mux` in terms of `SwitchValue`.
<_whitenotifier-6> [amaranth] whitequark closed pull request #1267: hdl._ast: Implement `Mux` in terms of `SwitchValue`. - https://github.com/amaranth-lang/amaranth/pull/1267
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1267-466536efcf8222e485b1291e77b40b518e596d73 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth] whitequark closed issue #1075: Add an `amaranth.hdl._ast.Choice` node, use it for `ArrayProxy`, `Part`, and `Mux` - https://github.com/amaranth-lang/amaranth/issues/1075
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/4e9ce5fa1eda...4775e81f234a
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 4775e81 - Deploying to main from @ amaranth-lang/amaranth@606ebcd7a9a925283196d9873806a324aa6b8282 🚀
<_whitenotifier-5> [amaranth-lang/rfcs] whitequark pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/rfcs/compare/f312f6802958...27ecea8cdc46
<_whitenotifier-6> [amaranth-lang/rfcs] whitequark 27ecea8 - RFC #61: fix formatting.
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±4] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/4775e81f234a...e18a1e2ad1ca
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] whitequark e18a1e2 - Deploying to main from @ amaranth-lang/rfcs@27ecea8cdc46c938ee1a8a5917e3a9cac8242939 🚀
<whitequark[cis]> minimal stream implementation is ready: https://github.com/amaranth-lang/amaranth/pull/1266
<whitequark[cis]> documentation in progress
<whitequark[cis]> also, our code coverage is now at over 90.00%!
<_whitenotifier-5> [amaranth] whitequark opened pull request #1268: lib.wiring: allow reset-less signals in interfaces - https://github.com/amaranth-lang/amaranth/pull/1268
<_whitenotifier-5> [amaranth] whitequark edited pull request #1268: lib.wiring: allow reset-less signals in interfaces - https://github.com/amaranth-lang/amaranth/pull/1268
<_whitenotifier-5> [amaranth] whitequark commented on issue #1220: Question: reset-less signals in interfaces - https://github.com/amaranth-lang/amaranth/issues/1220#issuecomment-2034379866
<_whitenotifier-5> [amaranth] whitequark edited pull request #1268: lib.wiring: allow reset-less signals in interfaces - https://github.com/amaranth-lang/amaranth/pull/1268
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1268: lib.wiring: allow reset-less signals in interfaces - https://github.com/amaranth-lang/amaranth/pull/1268#issuecomment-2034384808
<_whitenotifier-6> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1268-606ebcd7a9a925283196d9873806a324aa6b8282 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] whitequark 3c6f467 - lib.wiring: allow reset-less signals in interfaces.
<_whitenotifier-6> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±3] https://github.com/amaranth-lang/amaranth/compare/606ebcd7a9a9...3c6f46717bb5
<_whitenotifier-6> [amaranth] whitequark closed issue #1220: Question: reset-less signals in interfaces - https://github.com/amaranth-lang/amaranth/issues/1220
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1268-606ebcd7a9a925283196d9873806a324aa6b8282 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth] whitequark closed pull request #1268: lib.wiring: allow reset-less signals in interfaces - https://github.com/amaranth-lang/amaranth/pull/1268
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±39] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/e18a1e2ad1ca...c3e824d44d3d
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] c3e824d - Deploying to main from @ amaranth-lang/amaranth@3c6f46717bb58cc2eccd1973c32ce1b90d252440 🚀
<_whitenotifier-6> [amaranth] meithecatte commented on issue #1219: doc: Reduction operators: .any() vs .bool() - https://github.com/amaranth-lang/amaranth/issues/1219#issuecomment-2034571075
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1263-3c6f46717bb58cc2eccd1973c32ce1b90d252440 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1263-3c6f46717bb58cc2eccd1973c32ce1b90d252440 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1263-3c6f46717bb58cc2eccd1973c32ce1b90d252440 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth/compare/3c6f46717bb5...967dabc2fe30
<_whitenotifier-5> [amaranth-lang/amaranth] whitequark 967dabc - docs/{guide,reference}: clarify semantics of `a.any()` vs `a.bool()`.
<_whitenotifier-6> [amaranth] whitequark closed pull request #1263: docs/{guide,reference}: clarify semantics of `a.any()` vs `a.bool()` - https://github.com/amaranth-lang/amaranth/pull/1263
<_whitenotifier-6> [amaranth] whitequark closed issue #1219: doc: Reduction operators: .any() vs .bool() - https://github.com/amaranth-lang/amaranth/issues/1219
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1263-3c6f46717bb58cc2eccd1973c32ce1b90d252440 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±40] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/c3e824d44d3d...f36f59dbcf0a
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] f36f59d - Deploying to main from @ amaranth-lang/amaranth@967dabc2fe3015ed965e7e1c24b06e030a50e1fa 🚀
<_whitenotifier-5> [amaranth] wanda-phi opened issue #1269: pysim LHS compiler miscompiles `Slice` of `SwitchValue` - https://github.com/amaranth-lang/amaranth/issues/1269
<_whitenotifier-6> [amaranth] wanda-phi opened pull request #1270: sim: evaluate simulator commands in-place instead of compiling them. - https://github.com/amaranth-lang/amaranth/pull/1270
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1270: sim: evaluate simulator commands in-place instead of compiling them. - https://github.com/amaranth-lang/amaranth/pull/1270#issuecomment-2034806164
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1270-967dabc2fe3015ed965e7e1c24b06e030a50e1fa - https://github.com/amaranth-lang/amaranth
Guest50 has joined #amaranth-lang
<_whitenotifier-5> [amaranth] whitequark closed pull request #1270: sim: evaluate simulator commands in-place instead of compiling them. - https://github.com/amaranth-lang/amaranth/pull/1270
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1270-967dabc2fe3015ed965e7e1c24b06e030a50e1fa - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+1/-0/±3] https://github.com/amaranth-lang/amaranth/compare/967dabc2fe30...f71bee499d5f
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi f71bee4 - sim: evaluate simulator commands in-place instead of compiling them.
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/f36f59dbcf0a...4bbc5a754126
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 4bbc5a7 - Deploying to main from @ amaranth-lang/amaranth@f71bee499d5fef2151dd88abd98b17b94e6791e8 🚀
<_whitenotifier-6> [rfcs] ld-cd reviewed pull request #41 commit - https://github.com/amaranth-lang/rfcs/pull/41#discussion_r1549926949
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1271: hdl._mem: implement `MemoryData._Row` from RFC 62. - https://github.com/amaranth-lang/amaranth/pull/1271
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1272: hdl.mem: warn about indexing memories with a `Value`. - https://github.com/amaranth-lang/amaranth/pull/1272
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1271: hdl._mem: implement `MemoryData._Row` from RFC 62. - https://github.com/amaranth-lang/amaranth/pull/1271#issuecomment-2034901454
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1272: hdl.mem: warn about indexing memories with a `Value`. - https://github.com/amaranth-lang/amaranth/pull/1272#issuecomment-2034902650
Guest50 has quit [Ping timeout: 250 seconds]
notgull has joined #amaranth-lang
<_whitenotifier-5> [amaranth] whitequark closed pull request #1272: hdl.mem: warn about indexing memories with a `Value`. - https://github.com/amaranth-lang/amaranth/pull/1272
<_whitenotifier-6> [amaranth-lang/amaranth] whitequark tagged 4d1c4fc as v0.4.5 https://github.com/amaranth-lang/amaranth/commit/4d1c4fc20dc44398c09d334b7f34096b0185d43d
<_whitenotifier-6> [amaranth] whitequark created tag v0.4.5 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+166/-0/±0] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/4bbc5a754126...7c1bde0b5b87
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] whitequark 7c1bde0 - Deploying to main from @ amaranth-lang/amaranth@4d1c4fc20dc44398c09d334b7f34096b0185d43d 🚀
<_whitenotifier-6> [amaranth] github-actions[bot] published v0.4.5 | 0.4.5 - https://github.com/amaranth-lang/amaranth/releases/tag/v0.4.5
<_whitenotifier-6> [amaranth-lang/playground] whitequark 636c2e4 - Update for Amaranth v0.4.5.
<_whitenotifier-5> [amaranth-lang/playground] whitequark pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/playground/compare/8c80da5fc534...636c2e4d3ba2
<_whitenotifier-6> [rfcs] ld-cd reviewed pull request #41 commit - https://github.com/amaranth-lang/rfcs/pull/41#discussion_r1549989650
notgull has quit [Ping timeout: 256 seconds]
notgull has joined #amaranth-lang
notgull has quit [Ping timeout: 240 seconds]
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/7c1bde0b5b87...c07868dd707b
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] whitequark c07868d - Deploying to main from @ amaranth-lang/playground@636c2e4d3ba2453badbe6fc8b4e13fe9c6815436 🚀
<_whitenotifier-6> [amaranth] wanda-phi opened pull request #1273: docs: add links to more docs versions. - https://github.com/amaranth-lang/amaranth/pull/1273
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1273: docs: add links to more docs versions. - https://github.com/amaranth-lang/amaranth/pull/1273#issuecomment-2035029275
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1273-f71bee499d5fef2151dd88abd98b17b94e6791e8 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] whitequark closed pull request #1273: docs: add links to more docs versions. - https://github.com/amaranth-lang/amaranth/pull/1273
<_whitenotifier-6> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/f71bee499d5f...93ef89626ebb
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi 93ef896 - docs: add links to more docs versions.
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1273-f71bee499d5fef2151dd88abd98b17b94e6791e8 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±38] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/c07868dd707b...399fb28dbf99
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 399fb28 - Deploying to main from @ amaranth-lang/amaranth@93ef89626ebbea65ef52997344604950dc743b68 🚀
bellanzio[m] has quit [Quit: Idle timeout reached: 172800s]
ldcd[m] has joined #amaranth-lang
<ldcd[m]> I'm reimplementing several of my works bugged DSP modules in amaranth I've been doing this with ad-hoc fixed point. If vegard_e (@_discord_157944445168254976:catircservices.org) has other parts of the language they would rather work on I can contribute a draft implementation of RFC#41 as part of my job. I can also likely contribute an FFT generator to amaranth.lib once the stream RFC and RFC#41 get merged if there is interest.
<whitequark[cis]> ldcd: in any case, getting more production experience with the fixed point module will be extremely valuable and (especially after the 0.5 release) I'm very interested in working with you on it
zyp[m] has joined #amaranth-lang
<zyp[m]> I've already written a draft implementation: https://github.com/amaranth-lang/amaranth/pull/1005
<whitequark[cis]> an FFT generator is something that might be better prototyped out of the standard library, potentially; but since I don't really understand the domain involved I can't confidently say that it should or shouldn't be
<ldcd[m]> zyp: Sorry I missed that thank you for linking it
<ldcd[m]> in that case I will prototype an FFT and a few FIRs with the draft implementation
<_whitenotifier-6> [amaranth] whitequark reviewed pull request #1271 commit - https://github.com/amaranth-lang/amaranth/pull/1271#discussion_r1550133215
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1271-93ef89626ebbea65ef52997344604950dc743b68 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±11] https://github.com/amaranth-lang/amaranth/compare/93ef89626ebb...767d69c70378
<_whitenotifier-6> [amaranth-lang/amaranth] wanda-phi 767d69c - hdl._mem: implement `MemoryData._Row` from RFC 62.
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1271-93ef89626ebbea65ef52997344604950dc743b68 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] whitequark closed pull request #1271: hdl._mem: implement `MemoryData._Row` from RFC 62. - https://github.com/amaranth-lang/amaranth/pull/1271
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±39] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/399fb28dbf99...e3b88e1014ca
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] e3b88e1 - Deploying to main from @ amaranth-lang/amaranth@767d69c70378c92d905cd74299f6c294db79a5a9 🚀
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1274: Implement RFC 58: Core support for `ValueCastable` formatting. - https://github.com/amaranth-lang/amaranth/pull/1274
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1274: Implement RFC 58: Core support for `ValueCastable` formatting. - https://github.com/amaranth-lang/amaranth/pull/1274#issuecomment-2035196511
<_whitenotifier-6> [amaranth] whitequark reviewed pull request #1274 commit - https://github.com/amaranth-lang/amaranth/pull/1274#discussion_r1550191767
<_whitenotifier-6> [amaranth] whitequark reviewed pull request #1274 commit - https://github.com/amaranth-lang/amaranth/pull/1274#discussion_r1550193962
<_whitenotifier-6> [amaranth] wanda-phi opened pull request #1275: hdl._ir: add `all_undef_to_ff` mode. - https://github.com/amaranth-lang/amaranth/pull/1275
<_whitenotifier-5> [amaranth] whitequark reviewed pull request #1275 commit - https://github.com/amaranth-lang/amaranth/pull/1275#discussion_r1550270842
<_whitenotifier-6> [amaranth] codecov[bot] commented on pull request #1275: hdl._ir: add `all_undef_to_ff` mode. - https://github.com/amaranth-lang/amaranth/pull/1275#issuecomment-2035330277
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1275-767d69c70378c92d905cd74299f6c294db79a5a9 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth/compare/767d69c70378...f21d3d0c6a34
<_whitenotifier-6> [amaranth-lang/amaranth] wanda-phi f21d3d0 - hdl._ir: add `all_undef_to_ff` mode.
<_whitenotifier-6> [amaranth] whitequark closed pull request #1275: hdl._ir: add `all_undef_to_ff` mode. - https://github.com/amaranth-lang/amaranth/pull/1275
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1275-767d69c70378c92d905cd74299f6c294db79a5a9 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] dc597da - Deploying to main from @ amaranth-lang/amaranth@f21d3d0c6a34bce5d05290f4f62796f3b0b7671f 🚀
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/e3b88e1014ca...dc597da06a52
<nates93[m]> Is it true CXXRTL is basically an iverilog (able to handle delays, etc.) but with speeds closer to Verilator?
<whitequark[cis]> I feel like that particular statement is too likely to mislead a reader into thinking that CXXRTL is something that it isn't
<whitequark[cis]> for one, CXXRTL doesn't handle 4-valued logic at all, and never will (3-valued perhaps)
<whitequark[cis]> * (3-valued perhaps, though it's probably going to be mostly handled by a pass above CXXRTL)
galibert[m] has joined #amaranth-lang
<galibert[m]> 4-value, that’s 01zx?
<whitequark[cis]> yes
<galibert[m]> X maybe Z never right?
<whitequark[cis]> yes. I mean Yosys has a pass that lowers X to a [01] side-signal, and it wouldn't be too hard to associate both with each other somehow so that x can be shown for presentation
<whitequark[cis]> the pass is xprop
<_whitenotifier-6> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1274-f21d3d0c6a34bce5d05290f4f62796f3b0b7671f - https://github.com/amaranth-lang/amaranth
<galibert[m]> Interesting
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±3] https://github.com/amaranth-lang/amaranth/compare/f21d3d0c6a34...0e6d802de451
<_whitenotifier-6> [amaranth-lang/amaranth] wanda-phi 0e6d802 - Implement RFC 58: Core support for `ValueCastable` formatting.
<_whitenotifier-6> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1274-f21d3d0c6a34bce5d05290f4f62796f3b0b7671f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] whitequark closed pull request #1274: Implement RFC 58: Core support for `ValueCastable` formatting. - https://github.com/amaranth-lang/amaranth/pull/1274
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±40] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/dc597da06a52...bd32bab22511
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] bd32bab - Deploying to main from @ amaranth-lang/amaranth@0e6d802de45112d15d57db627bc6305ea1a36af9 🚀
<_whitenotifier-5> [amaranth] wanda-phi closed issue #1243: Tracking issue for RFC 58: Core support for `ValueCastable` formatting - https://github.com/amaranth-lang/amaranth/issues/1243
josuah has joined #amaranth-lang
<_whitenotifier-5> [amaranth] whitequark commented on issue #1241: Tracking issue for RFC 62: The `MemoryData` class. - https://github.com/amaranth-lang/amaranth/issues/1241#issuecomment-2035513850
cr1901 has quit [Quit: Leaving]
<_whitenotifier-6> [amaranth] wanda-phi opened pull request #1276: hdl._ir: Remove uses of `_[lr]hs_signals` and `_ioports`. - https://github.com/amaranth-lang/amaranth/pull/1276
<_whitenotifier-6> [amaranth] codecov[bot] commented on pull request #1276: hdl._ir: Remove uses of `_[lr]hs_signals` and `_ioports`. - https://github.com/amaranth-lang/amaranth/pull/1276#issuecomment-2035674151
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1276-0e6d802de45112d15d57db627bc6305ea1a36af9 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±3] https://github.com/amaranth-lang/amaranth/compare/0e6d802de451...262e24b56455
<_whitenotifier-6> [amaranth-lang/amaranth] wanda-phi 262e24b - hdl._ir: Remove uses of `_[lr]hs_signals` and `_ioports`.
<_whitenotifier-5> [amaranth] whitequark closed pull request #1276: hdl._ir: Remove uses of `_[lr]hs_signals` and `_ioports`. - https://github.com/amaranth-lang/amaranth/pull/1276
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1276-0e6d802de45112d15d57db627bc6305ea1a36af9 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/bd32bab22511...66327cb6702a
<_whitenotifier-6> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 66327cb - Deploying to main from @ amaranth-lang/amaranth@262e24b56455bb8256e84d07f576bb015f885dde 🚀
cr1901 has joined #amaranth-lang
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1277: hdl._ir: remove `Fragment.drivers`. - https://github.com/amaranth-lang/amaranth/pull/1277
<_whitenotifier-6> [amaranth] codecov[bot] commented on pull request #1277: hdl._ir: remove `Fragment.drivers`. - https://github.com/amaranth-lang/amaranth/pull/1277#issuecomment-2035717193
lf has quit [Ping timeout: 268 seconds]
lf has joined #amaranth-lang
<_whitenotifier-6> [rfcs] ld-cd reviewed pull request #41 commit - https://github.com/amaranth-lang/rfcs/pull/41#discussion_r1550634040
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #amaranth-lang