whitequark changed the topic of #nmigen to: nMigen hardware description language · code https://github.com/nmigen · logs https://libera.irclog.whitequark.org/nmigen
lf_ has quit [Ping timeout: 268 seconds]
lf has joined #nmigen
<_whitenotifier-1> [nmigen-boards] hansfbaier synchronize pull request #154: Add support for QMTech Boards EP4CE15, EP4CE55, 5CEFA2, 10CL006 and XC7A35 and their common daughterboard - https://git.io/JGvXe
<_whitenotifier-1> [nmigen-boards] hansfbaier synchronize pull request #154: Add support for QMTech Boards EP4CE15, EP4CE55, 5CEFA2, 10CL006 and XC7A35 and their common daughterboard - https://git.io/JGvXe
<_whitenotifier-1> [nmigen-boards] hansfbaier synchronize pull request #154: Add support for QMTech Boards EP4CE15, EP4CE55, 5CEFA2, 10CL006 and XC7A35 and their common daughterboard - https://git.io/JGvXe
<_whitenotifier-1> [nmigen-boards] hansfbaier edited pull request #154: Add support for QMTech Boards EP4CE15, EP4CE55, 5CEFA2, 10CL006 and XC7A35T and their common daughterboard - https://git.io/JGvXe
<_whitenotifier-1> [nmigen-boards] hansfbaier synchronize pull request #154: Add support for QMTech Boards EP4CE15, EP4CE55, 5CEFA2, 10CL006 and XC7A35T and their common daughterboard - https://git.io/JGvXe
<_whitenotifier-1> [nmigen-boards] hansfbaier commented on pull request #154: Add support for QMTech Boards EP4CE15, EP4CE55, 5CEFA2, 10CL006 and XC7A35T and their common daughterboard - https://git.io/JPNl3
<_whitenotifier-1> [nmigen-boards] hansfbaier commented on pull request #154: Add support for QMTech Boards EP4CE15, EP4CE55, 5CEFA2, 10CL006 and XC7A35T and their common daughterboard - https://git.io/JPN4a
<_whitenotifier-1> [nmigen-boards] hansfbaier synchronize pull request #154: Add support for QMTech Boards EP4CE15, EP4CE55, 5CEFA2, 10CL006 and XC7A35T and their common daughterboard - https://git.io/JGvXe
<_whitenotifier-1> [nmigen-boards] hansfbaier commented on pull request #154: Add support for QMTech Boards EP4CE15, EP4CE55, 5CEFA2, 10CL006 and XC7A35T and their common daughterboard - https://git.io/JPN45
kbeckmann has quit [Ping timeout: 260 seconds]
kbeckmann has joined #nmigen
Degi_ has joined #nmigen
Degi has quit [Ping timeout: 260 seconds]
Degi_ is now known as Degi
d1b2 has quit [Remote host closed the connection]
d1b2 has joined #nmigen
emeb_mac has quit [Quit: Leaving.]
peepsalot has quit [Ping timeout: 260 seconds]
peepsalot has joined #nmigen
<FL4SHK> whitequark: any thoughts on arrays of interfaces like in SV?
<_whitenotifier-1> [YoWASP/yosys] whitequark pushed 3 commits to release [+0/-0/±3] https://git.io/JPxu2
<_whitenotifier-1> [YoWASP/yosys] whitequark 4ae03d9 - Allow using any absolute or relative paths on Linux and Windows.
<_whitenotifier-1> [YoWASP/yosys] whitequark 33f7191 - Use upstream YOSYS_VER variable verbatim when computing version.
<_whitenotifier-1> [YoWASP/yosys] whitequark 5b8037b - Prepend YoWASP Python module path to `sys.path`, not append.
<whitequark> FL4SHK: in the scheme I described earlier, interfaces aren't nmigen `Value`s, they're mostly normal Python objects
<whitequark> which means you can just have an array of them like you can have an array of anything else
<_whitenotifier-1> [nmigen/nmigen] whitequark pushed 1 commit to master [+1/-0/±0] https://git.io/JPxos
<_whitenotifier-1> [nmigen/nmigen] whitequark a2ef4cb - Add PEP 518 `pyproject.toml`.
<_whitenotifier-1> [nmigen/nmigen-boards] whitequark pushed 1 commit to master [+1/-0/±0] https://git.io/JPxo8
<_whitenotifier-1> [nmigen/nmigen-boards] whitequark bd7fdd3 - Add PEP 518 `pyproject.toml`.
<_whitenotifier-1> [nmigen/nmigen-stdio] whitequark pushed 1 commit to master [+1/-0/±0] https://git.io/JPxoE
<_whitenotifier-1> [nmigen/nmigen-stdio] whitequark 9e222b9 - Add PEP 518 `pyproject.toml`.
<_whitenotifier-1> [nmigen/nmigen-soc] whitequark pushed 1 commit to master [+1/-0/±0] https://git.io/JPxoa
<_whitenotifier-1> [nmigen/nmigen-soc] whitequark d0e6c70 - Add PEP 518 `pyproject.toml`.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark pushed 2 commits to develop [+1/-0/±2] https://git.io/JPx6n
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark 716871d - Avoid deprecated importlib_resources APIs.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark d4b6035 - Add PEP 518 `pyproject.toml`.
<_whitenotifier-1> [YoWASP/yosys] whitequark pushed 15 commits to release [+0/-0/±16] https://git.io/JPx6D
<_whitenotifier-1> [YoWASP/yosys] whitequark 8ccad1c - Update dependencies.
<_whitenotifier-1> [YoWASP/yosys] whitequark f868050 - Update dependencies.
<_whitenotifier-1> [YoWASP/yosys] whitequark 870ba47 - Update dependencies.
<_whitenotifier-1> [YoWASP/yosys] ... and 12 more commits.
<_whitenotifier-1> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://git.io/JPxie
<_whitenotifier-1> [YoWASP/yosys] whitequark f61f6e2 - Update dependencies.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark pushed 2 commits to release [+1/-0/±2] https://git.io/JPx6n
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark 716871d - Avoid deprecated importlib_resources APIs.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark d4b6035 - Add PEP 518 `pyproject.toml`.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://git.io/JPxMw
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark 2468c5d - Use importlib_resources shim on Python 3.8~3.9.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark pushed 1 commit to release [+0/-0/±1] https://git.io/JPxMw
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark 2468c5d - Use importlib_resources shim on Python 3.8~3.9.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://git.io/JPxy2
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark 1c42e09 - Fix commit 2468c5d4.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark pushed 1 commit to release [+0/-0/±1] https://git.io/JPxy2
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark 1c42e09 - Fix commit 2468c5d4.
<_whitenotifier-1> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://git.io/JPxQg
<_whitenotifier-1> [YoWASP/yosys] whitequark b7905c1 - Relax YOSYS_VER regex to accept format used upstream in 0.11.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark pushed 1 commit to develop [+0/-0/±2] https://git.io/JPx7L
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark 215e948 - Build wheels using PEP 517 tooling.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark pushed 1 commit to develop [+0/-0/±2] https://git.io/JPxdf
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark a3a1262 - Build wheels using PEP 517 tooling.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark pushed 1 commit to develop [+0/-0/±2] https://git.io/JPxFJ
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark 187f764 - Build wheels using PEP 517 tooling.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark pushed 2 commits to develop [+0/-0/±3] https://git.io/JPxbR
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark a3a1262 - Build wheels using PEP 517 tooling.
<_whitenotifier-1> [nmigen/nmigen-yosys] whitequark b4e9125 - Simplify CI workflow.
<_whitenotifier-1> [YoWASP/yosys] whitequark pushed 2 commits to release [+0/-0/±2] https://git.io/JPxj2
<_whitenotifier-1> [YoWASP/yosys] whitequark f61f6e2 - Update dependencies.
<_whitenotifier-1> [YoWASP/yosys] whitequark b7905c1 - Relax YOSYS_VER regex to accept format used upstream in 0.11.
<_whitenotifier-1> [nmigen] cr1901 commented on issue #505: Formal Platform Integration - https://git.io/JPpvI
<_whitenotifier-1> [nmigen] cr1901 edited a comment on issue #505: Formal Platform Integration - https://git.io/JPpvI
<_whitenotifier-1> [nmigen] whitequark commented on issue #505: Formal Platform Integration - https://git.io/JPpUh
<_whitenotifier-1> [nmigen] cr1901 commented on issue #505: Formal Platform Integration - https://git.io/JPpTY
<_whitenotifier-1> [nmigen] cr1901 edited a comment on issue #505: Formal Platform Integration - https://git.io/JPpvI
<Sarayan> what is the kind of things one can prove in HDLs nowadays?
<cr1901> I mainly use it lately for equivalence checking. B/c "instead of trying a specific input stimulus via simulation, why not try _all_ of them :)?"
emeb has joined #nmigen
<Sarayan> you prove if the "circuit" is equivalent to math/software?
<vup> its also been really useful for anuejn and me to check things like stream contracts (the two way handshake stuff), because somehow we managed to fuck them up a lot :)
<_whitenotifier-1> [YoWASP/nextpnr] whitequark pushed 12 commits to release [+0/-0/±12] https://git.io/JPpOO
<_whitenotifier-1> [YoWASP/nextpnr] whitequark b426a3f - Update dependencies.
<_whitenotifier-1> [YoWASP/nextpnr] whitequark c91e8d6 - Update dependencies.
<_whitenotifier-1> [YoWASP/nextpnr] whitequark 58d2b4d - Update dependencies.
<_whitenotifier-1> [YoWASP/nextpnr] ... and 9 more commits.
<Sarayan> interesting
<_whitenotifier-1> [nmigen/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/JPplU
<_whitenotifier-1> [nmigen/nmigen] whitequark 7c740a8 - Simplify CI workflow.
<_whitenotifier-1> [nmigen/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/JPplu
<_whitenotifier-1> [nmigen/nmigen] whitequark f0af0a8 - Run tests on Python 3.10.
<_whitenotifier-1> [nmigen/nmigen] whitequark pushed 1 commit to master [+0/-0/±3] https://git.io/JPp8G
<_whitenotifier-1> [nmigen/nmigen] whitequark 97aa7a3 - vendor.xilinx_*: deprecate legacy Xilinx platform aliases.
<_whitenotifier-1> [nmigen/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/JPp4J
<_whitenotifier-1> [nmigen/nmigen] whitequark 8081df1 - _toolchain.cxx: use distutils from setuptools.
<_whitenotifier-1> [nmigen/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/JPp4N
<_whitenotifier-1> [nmigen/nmigen] whitequark 369cc59 - docs: update requirements.
<_whitenotifier-1> [nmigen/nmigen] github-actions[bot] pushed 1 commit to gh-pages [+1/-1/±19] https://git.io/JPpBU
<_whitenotifier-1> [nmigen/nmigen] whitequark f90df0a - Deploying to gh-pages from @ 369cc59d69d90f8b37aa13f89589657ccef2226b 🚀
<_whitenotifier-1> [nmigen/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/JPpB6
<_whitenotifier-1> [nmigen/nmigen] whitequark 3379f07 - _toolchain.cxx: ignore deprecation warning (on Python 3.6).
<_whitenotifier-1> [nmigen/nmigen] github-actions[bot] pushed 1 commit to gh-pages [+0/-0/±13] https://git.io/JPpBS
<_whitenotifier-1> [nmigen/nmigen] whitequark 6b66681 - Deploying to gh-pages from @ 3379f072a0d8f784a7168c97e72ee9b9496987fb 🚀
emeb_mac has joined #nmigen
<_whitenotifier-1> [nmigen/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/JPpRZ
<_whitenotifier-1> [nmigen/nmigen] whitequark e91a5ad - _toolchain.cxx: ignore another deprecation warning (on Python 3.10).
<_whitenotifier-1> [nmigen/nmigen] github-actions[bot] pushed 1 commit to gh-pages [+0/-0/±13] https://git.io/JPpRR
<_whitenotifier-1> [nmigen/nmigen] whitequark 8c3da6e - Deploying to gh-pages from @ e91a5ad9343501daf675fdf4d310b9c9a9d7dc11 🚀
XgF has quit [Remote host closed the connection]
XgF has joined #nmigen
<whitequark> finally
<_whitenotifier-1> [nmigen/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/JPp0k
<_whitenotifier-1> [nmigen/nmigen] whitequark 0b28a97 - CI: preserve YoWASP cache as well.
<_whitenotifier-1> [nmigen/nmigen] github-actions[bot] pushed 1 commit to gh-pages [+0/-0/±13] https://git.io/JPp0s
<_whitenotifier-1> [nmigen/nmigen] whitequark 03eed8d - Deploying to gh-pages from @ 0b28a97ca00b44301fb35e2426d571e4f6640040 🚀
<tpw_rules> whitequark: you mentioned offhandedly a bit ago that there was a rebranding in the pipeline. is there any timeline on this? i am just curious
<whitequark> "soon"
<tpw_rules> hehe, okay. best of luck
<whitequark> thank you
bvernoux has joined #nmigen
mindw0rk has quit [Quit: ZNC 1.8.2 - https://znc.in]
mindw0rk has joined #nmigen
chiastre has quit [Quit: chiastre]
chiastre has joined #nmigen
Niklas[m] has quit [Ping timeout: 246 seconds]
whitequark has quit [Ping timeout: 246 seconds]
adamgreig has joined #nmigen
RobTaylor[m] has quit [Ping timeout: 268 seconds]
CarlFK has quit [Ping timeout: 268 seconds]
agg has quit [Ping timeout: 246 seconds]
smkz has quit [Ping timeout: 264 seconds]
Niklas[m] has joined #nmigen
smkz has joined #nmigen
RobTaylor[m] has joined #nmigen
whitequark has joined #nmigen
bvernoux has quit [Read error: Connection reset by peer]
adamgreig is now known as agg
CarlFK has joined #nmigen
Lilian has quit [Quit: ZNC 1.8.2 - https://znc.in]
V has quit [Ping timeout: 264 seconds]
Lilian has joined #nmigen
V has joined #nmigen
emeb has quit [Quit: Leaving.]