whitequark[cis] changed the topic of #glasgow to: https://glasgow-embedded.org · digital interface explorer · https://www.crowdsupply.com/1bitsquared/glasgow · code https://github.com/GlasgowEmbedded/glasgow · logs https://libera.irclog.whitequark.org/glasgow · matrix #glasgow-interface-explorer:matrix.org · discord https://1bitsquared.com/pages/chat
<josHua[m]> I am not sure if this is a real explanation of anything in particular but I came up with this thesis once and liked it.
<whitequark[cis]> no yeah that makes sense
<_whitenotifier-5> [glasgow] whitequark commented on issue #469: [RFC] Use Nix instead of Docker for reproducible firmware builds - https://github.com/GlasgowEmbedded/glasgow/issues/469#issuecomment-1979841992
<_whitenotifier-5> [glasgow] whitequark synchronize pull request #506: applet.interface.spi_serprog: New applet that implements the Flashrom Serprog protocol - https://github.com/GlasgowEmbedded/glasgow/pull/506
<_whitenotifier-5> [glasgow] whitequark reviewed pull request #506 commit - https://github.com/GlasgowEmbedded/glasgow/pull/506#discussion_r1513649058
<_whitenotifier-5> [glasgow] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-506-22e1e5ec95df95834b978963b07c7a8644e28ed1 - https://github.com/GlasgowEmbedded/glasgow
<_whitenotifier-5> [GlasgowEmbedded/glasgow] neuschaefer f4cd783 - applet.interface.spi_flashrom: new applet.
<_whitenotifier-7> [GlasgowEmbedded/glasgow] github-merge-queue[bot] pushed 3 commits to main [+1/-0/±4] https://github.com/GlasgowEmbedded/glasgow/compare/22e1e5ec95df...1c816f7f0e85
<_whitenotifier-5> [GlasgowEmbedded/glasgow] neuschaefer 4a3f811 - Add myself to CODEOWNERS
<_whitenotifier-7> [GlasgowEmbedded/glasgow] whitequark 1c816f7 - support.endpoint: clearer error message for invalid spec.
<_whitenotifier-5> [glasgow] whitequark closed pull request #506: applet.interface.spi_flashrom: New applet that implements the Flashrom Serprog protocol - https://github.com/GlasgowEmbedded/glasgow/pull/506
<_whitenotifier-7> [glasgow] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-506-22e1e5ec95df95834b978963b07c7a8644e28ed1 - https://github.com/GlasgowEmbedded/glasgow
<_whitenotifier-7> [glasgow] neuschaefer opened pull request #529: CODEOWNERS: fix spi_flashrom applet name - https://github.com/GlasgowEmbedded/glasgow/pull/529
<_whitenotifier-7> [glasgow] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-529-1c816f7f0e85fc73b84e83ad07721a89bb1d5fc6 - https://github.com/GlasgowEmbedded/glasgow
<_whitenotifier-5> [glasgow] whitequark closed pull request #529: CODEOWNERS: fix spi_flashrom applet name - https://github.com/GlasgowEmbedded/glasgow/pull/529
<_whitenotifier-5> [glasgow] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-529-1c816f7f0e85fc73b84e83ad07721a89bb1d5fc6 - https://github.com/GlasgowEmbedded/glasgow
<_whitenotifier-7> [GlasgowEmbedded/glasgow] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/GlasgowEmbedded/glasgow/compare/1c816f7f0e85...20831e2aafc0
<_whitenotifier-5> [GlasgowEmbedded/glasgow] neuschaefer 20831e2 - CODEOWNERS: fix spi_flashrom applet name
<_whitenotifier-5> [glasgow] whitequark opened pull request #530: Minor CI improvements - https://github.com/GlasgowEmbedded/glasgow/pull/530
<_whitenotifier-5> [glasgow] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-530-20831e2aafc01e20aef819a0c33744e5057d17c0 - https://github.com/GlasgowEmbedded/glasgow
<_whitenotifier-5> [glasgow] whitequark commented on pull request #191: applet.program.ecp5_sram: Initial ecp5 SRAM applet - https://github.com/GlasgowEmbedded/glasgow/pull/191#issuecomment-1979874424
<_whitenotifier-5> [GlasgowEmbedded/glasgow] github-merge-queue[bot] pushed 2 commits to main [+0/-0/±2] https://github.com/GlasgowEmbedded/glasgow/compare/20831e2aafc0...18dea0c735d8
<_whitenotifier-5> [glasgow] whitequark closed pull request #530: Minor CI improvements - https://github.com/GlasgowEmbedded/glasgow/pull/530
<_whitenotifier-5> [glasgow] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-530-20831e2aafc01e20aef819a0c33744e5057d17c0 - https://github.com/GlasgowEmbedded/glasgow
<_whitenotifier-5> [glasgow] whitequark commented on pull request #192: RFC: applet.program.ecp5_flash - https://github.com/GlasgowEmbedded/glasgow/pull/192#issuecomment-1979878662
esden[cis] has quit [Quit: Idle timeout reached: 172800s]
<_whitenotifier-7> [glasgow] tpwrules commented on issue #469: [RFC] Use Nix instead of Docker for reproducible firmware builds - https://github.com/GlasgowEmbedded/glasgow/issues/469#issuecomment-1979890568
<_whitenotifier-7> [glasgow] whitequark commented on issue #469: [RFC] Use Nix instead of Docker for reproducible firmware builds - https://github.com/GlasgowEmbedded/glasgow/issues/469#issuecomment-1979892951
<_whitenotifier-5> [glasgow] whitequark commented on pull request #266: applet.interface.jtag_openocd: Add SWD support - https://github.com/GlasgowEmbedded/glasgow/pull/266#issuecomment-1979897324
<_whitenotifier-5> [glasgow] tpwrules commented on issue #469: [RFC] Use Nix instead of Docker for reproducible firmware builds - https://github.com/GlasgowEmbedded/glasgow/issues/469#issuecomment-1979904977
<_whitenotifier-7> [glasgow] whitequark closed issue #469: [RFC] Use Nix instead of Docker for reproducible firmware builds - https://github.com/GlasgowEmbedded/glasgow/issues/469
<_whitenotifier-5> [glasgow] whitequark commented on issue #469: [RFC] Use Nix instead of Docker for reproducible firmware builds - https://github.com/GlasgowEmbedded/glasgow/issues/469#issuecomment-1979908168
redstarcomrade has joined #glasgow
redstarcomrade has quit [Changing host]
redstarcomrade has joined #glasgow
fibmod has joined #glasgow
redstarcomrade has quit [Read error: Connection reset by peer]
<_whitenotifier-5> [glasgow] whitequark opened pull request #531: applet.interface.swd_openocd: new applet - https://github.com/GlasgowEmbedded/glasgow/pull/531
<_whitenotifier-5> [glasgow] whitequark commented on pull request #266: applet.interface.jtag_openocd: Add SWD support - https://github.com/GlasgowEmbedded/glasgow/pull/266#issuecomment-1980069081
<whitequark[cis]> Glasgow can now be used to debug SWD targets! (slowly) https://github.com/GlasgowEmbedded/glasgow/pull/531
cr1901 has quit [Read error: Connection reset by peer]
cr1901 has joined #glasgow
<whitequark[cis]> (or, well, will be once I wait for a bit from the original PR author and merge that)
adistuder[m] has quit [Quit: Idle timeout reached: 172800s]
redstarcomrade has joined #glasgow
bvernoux has joined #glasgow
ar-jan has joined #glasgow
ar-jan has quit [Ping timeout: 260 seconds]
<Attie[m]> 😱 Very cool, well done!
jstein has joined #glasgow
fibmod has quit [Ping timeout: 264 seconds]
redstarcomrade has quit [Read error: Connection reset by peer]
<_whitenotifier-7> [glasgow] gregdavill reviewed pull request #191 commit - https://github.com/GlasgowEmbedded/glasgow/pull/191#discussion_r1514337265
<_whitenotifier-7> [glasgow] gregdavill reviewed pull request #191 commit - https://github.com/GlasgowEmbedded/glasgow/pull/191#discussion_r1514339290
<_whitenotifier-5> [glasgow] gregdavill commented on pull request #191: applet.program.ecp5_sram: Initial ecp5 SRAM applet - https://github.com/GlasgowEmbedded/glasgow/pull/191#issuecomment-1980739238
skipwich has joined #glasgow
redstarcomrade has joined #glasgow
jess has joined #glasgow
<_whitenotifier-5> [glasgow] mwick83 closed pull request #266: applet.interface.jtag_openocd: Add SWD support - https://github.com/GlasgowEmbedded/glasgow/pull/266
<_whitenotifier-7> [glasgow] mwick83 commented on pull request #266: applet.interface.jtag_openocd: Add SWD support - https://github.com/GlasgowEmbedded/glasgow/pull/266#issuecomment-1981311654
notgull has quit [Ping timeout: 264 seconds]
notgull has joined #glasgow
fibmod has joined #glasgow
fibmod has quit [Ping timeout: 260 seconds]
<_whitenotifier-5> [glasgow] whitequark commented on pull request #266: applet.interface.jtag_openocd: Add SWD support - https://github.com/GlasgowEmbedded/glasgow/pull/266#issuecomment-1981539570
<_whitenotifier-7> [glasgow] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-531-18dea0c735d8eecea9395b3dbfbb7ffeb1f08b41 - https://github.com/GlasgowEmbedded/glasgow
<_whitenotifier-5> [GlasgowEmbedded/glasgow] github-merge-queue[bot] pushed 1 commit to main [+2/-0/±2] https://github.com/GlasgowEmbedded/glasgow/compare/18dea0c735d8...89f310b1a0ec
<_whitenotifier-7> [GlasgowEmbedded/glasgow] whitequark 89f310b - applet.interface.swd_openocd: new applet.
<_whitenotifier-5> [glasgow] whitequark closed pull request #531: applet.interface.swd_openocd: new applet - https://github.com/GlasgowEmbedded/glasgow/pull/531
<_whitenotifier-7> [glasgow] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-531-18dea0c735d8eecea9395b3dbfbb7ffeb1f08b41 - https://github.com/GlasgowEmbedded/glasgow
redstarcomrade has quit [Read error: Connection reset by peer]
thechickenundert has quit [Quit: Idle timeout reached: 172800s]
<_whitenotifier-7> [glasgow] whitequark opened pull request #532: applet.interface.swd_openocd: remove debug print - https://github.com/GlasgowEmbedded/glasgow/pull/532
<_whitenotifier-5> [glasgow] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-532-89f310b1a0ec324cbc56692af39f6c1ff3746e48 - https://github.com/GlasgowEmbedded/glasgow
<_whitenotifier-5> [GlasgowEmbedded/glasgow] whitequark e5b4114 - applet.interface.swd_openocd: remove debug print.
<_whitenotifier-7> [GlasgowEmbedded/glasgow] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/GlasgowEmbedded/glasgow/compare/89f310b1a0ec...e5b4114cd940
<_whitenotifier-5> [glasgow] whitequark closed pull request #532: applet.interface.swd_openocd: remove debug print - https://github.com/GlasgowEmbedded/glasgow/pull/532
<_whitenotifier-5> [glasgow] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-532-89f310b1a0ec324cbc56692af39f6c1ff3746e48 - https://github.com/GlasgowEmbedded/glasgow
<_whitenotifier-5> [glasgow] whitequark closed issue #69: Can we get SWD support? - https://github.com/GlasgowEmbedded/glasgow/issues/69
<_whitenotifier-5> [glasgow] whitequark commented on issue #69: Can we get SWD support? - https://github.com/GlasgowEmbedded/glasgow/issues/69#issuecomment-1981636129
ar-jan has joined #glasgow
duskwuff[m] has quit [Quit: Idle timeout reached: 172800s]
<Attie[m]> I've talked about implementing some "oscilloscope triggers" before... and I've got a very basic one I'd like to add
<Attie[m]> are we open to adding software/glasgow/applet/triggers/* to hold them?
<Attie[m]> ... this specific one is like a pulse width trigger, but it will produce a trigger point on timeout, rather than when the whole pulse has been seen
<Attie[m]> i.e: setting a negative pulse width trigger for >1ms, my scope wont' actually trigger on that until the rising edge appears, and it was >1ms after the falling edge
<Attie[m]> with this applet, I'd like to produce a trigger 1ms after the falling edge
<Attie[m]> I'm also contemplating a CAN sample point trigger - i.e: produce a pulse at the sample point of each bit time, starting at the first edge, adjusting along the way, and stopping after recessive state for N bit times
bvernoux has quit [Quit: Leaving]
redstarcomrade has joined #glasgow
redstarcomrade has quit [Changing host]
redstarcomrade has joined #glasgow
notgull has quit [Ping timeout: 264 seconds]
josuaH[m] has quit [Quit: Idle timeout reached: 172800s]
vegard_e[m] has quit [Quit: Idle timeout reached: 172800s]
sys64738_2574[m] has quit [Quit: Idle timeout reached: 172800s]
<Attie[m]> yellow is the input signal, green is output from glasgow
<Attie[m]> my scope wouldn't trigger until the falling edge of yellow, but now I can trigger on the rising edge of green instead!
<Attie[m]> happy noises