whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · play https://amaranth-lang.org/play/ · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
Lord_Nightmare has quit [Quit: ZNC - http://znc.in]
jjsuperpower has joined #amaranth-lang
Lord_Nightmare has joined #amaranth-lang
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 255 seconds]
Degi_ is now known as Degi
__DuBPiRaTe__ has quit [Quit: Leaving]
d_olex has quit [Ping timeout: 255 seconds]
<cr1901> https://github.com/cr1901/sentinel/blob/new-sim-api/tests/sim/test_top.py#L72-L131 I made my first Amaranth process- a basic wishbone memory with RISCV insns
d_olex has joined #amaranth-lang
d_olex has quit [Ping timeout: 260 seconds]
d_olex has joined #amaranth-lang
Guest96 has joined #amaranth-lang
<Guest96> I am using an example where trying to add verilog module as instance. Apparentlyit requires some platform i.e., ICEBreakerPlatform. is it possibel to add file s without using some external platform
Guest82 has joined #amaranth-lang
Guest96 has quit [Ping timeout: 256 seconds]
Guest82 has quit [Ping timeout: 256 seconds]
<zyp[m]> there's two sides of adding a verilog module as an instance
<zyp[m]> the first is the Instance() statement, that creates a reference to an external module
<zyp[m]> and the other is the platform.add_file() that tells the toolchain to include the external verilog file in the build
<zyp[m]> you can't do the latter without a platform, because without a platform, you don't have a toolchain
<zyp[m]> if you don't have a platform because you'll be exporting this as another verilog module to include in a larger build, then you simply leave out that part, and then it's on you to ensure that the other verilog file also gets included in the final build
Guest96 has joined #amaranth-lang
Guest96 has quit [Quit: Client closed]
Guest96 has joined #amaranth-lang
Guest96 has quit [Quit: Client closed]
__DuBPiRaTe__ has joined #amaranth-lang
jjsuperpower has quit [Ping timeout: 252 seconds]
Guest96 has joined #amaranth-lang
Guest96 has quit [Quit: Client closed]
Guest96 has joined #amaranth-lang
Guest96 has quit [Quit: Client closed]
Guest96 has joined #amaranth-lang
Guest96 has quit [Quit: Client closed]
Guest96 has joined #amaranth-lang
<Guest96> Is it possible to add external instance (verilog) without using platform?
<Guest96> thank you . Awesome you people are really supportive.
balrog has quit [Ping timeout: 252 seconds]
balrog_ has joined #amaranth-lang
Guest96 has quit [Quit: Client closed]
pbsds37 has joined #amaranth-lang
pbsds3 has quit [Ping timeout: 265 seconds]
pbsds37 is now known as pbsds3
jjsuperpower has joined #amaranth-lang
<_whitenotifier> [amaranth-soc] jfng commented on pull request #81: Implement RFC 60: UART peripheral. - https://github.com/amaranth-lang/amaranth-soc/pull/81#issuecomment-2375414246
<_whitenotifier> [amaranth-soc] jfng edited pull request #81: Implement RFC 60: UART peripheral. - https://github.com/amaranth-lang/amaranth-soc/pull/81
<_whitenotifier> [amaranth-stdio] jfng closed pull request #19: tests: Ignore deprecation warnings due to RFC 66. - https://github.com/amaranth-lang/amaranth-stdio/pull/19
<_whitenotifier> [amaranth-stdio] jfng reopened pull request #19: tests: Ignore deprecation warnings due to RFC 66. - https://github.com/amaranth-lang/amaranth-stdio/pull/19