whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings on Mondays at 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
lf has quit [Ping timeout: 248 seconds]
lf has joined #amaranth-lang
crzwdjk has quit [Quit: Client closed]
<_whitenotifier-9> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+0/-0/±1] https://github.com/YoWASP/nextpnr/compare/e8144d583944...d0a6a83225e5
<_whitenotifier-9> [YoWASP/nextpnr] whitequark d0a6a83 - Update dependencies.
cr1901 has quit [Read error: Connection reset by peer]
cr1901 has joined #amaranth-lang
cr1901 has quit [Ping timeout: 260 seconds]
cr1901 has joined #amaranth-lang
bl0x_ has quit [Ping timeout: 252 seconds]
bl0x has joined #amaranth-lang
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 252 seconds]
Degi_ is now known as Degi
ydnatag has joined #amaranth-lang
ydnatag has quit [Quit: Connection closed for inactivity]
agg has quit [Quit: WeeChat 1.8]
<byteit101> Does amaranth support Z/high impedance?
<whitequark> only at the boundary
<whitequark> the way it's supported is by instantiating the vendor cell (there is a generic Yosys $tribuf one) and connecting it to the inout or output port of the toplevel
<byteit101> Can I label testbench outputs with strings ,like a fsm, but without using the fsm primitive?
<whitequark> yes; Signal(decoder=fn) accepts a function fn: int->str
<whitequark> that's what FSM does internally
nelgau has quit [Remote host closed the connection]
nelgau has joined #amaranth-lang
nelgau has quit [Remote host closed the connection]
nelgau has joined #amaranth-lang
nelgau has quit [Remote host closed the connection]
nelgau has joined #amaranth-lang
nelgau has quit [Remote host closed the connection]
nelgau has joined #amaranth-lang
<byteit101> Excellent
nelgau has quit []
cr1901 has quit [Read error: Connection reset by peer]
cr1901 has joined #amaranth-lang
Lord_Nightmare has quit [Quit: ZNC - http://znc.in]
Lord_Nightmare has joined #amaranth-lang