whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings on Mondays at 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
lf has quit [Ping timeout: 248 seconds]
lf has joined #amaranth-lang
<_whitenotifier-9> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://github.com/YoWASP/yosys/compare/229daf703a67...423afd73aa96
<_whitenotifier-9> [YoWASP/yosys] whitequark 423afd7 - Update dependencies.
bl0x has joined #amaranth-lang
bl0x_ has quit [Ping timeout: 255 seconds]
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 248 seconds]
Degi_ is now known as Degi
<_whitenotifier-9> [amaranth-lang/amaranth-yosys] whitequark pushed 2 commits to develop [+2/-0/±3] https://github.com/amaranth-lang/amaranth-yosys/compare/efb248b1e6de...a6c1aa67b529
<_whitenotifier-9> [amaranth-lang/amaranth-yosys] whitequark 4860d49 - [skip ci] Push develop branch to release if marked with [autorelease].
<_whitenotifier-9> [amaranth-lang/amaranth-yosys] whitequark a6c1aa6 - Automatically track wasmtime version updates.
<cr1901> Is there a meeting tomorrow at 5PM EST?
<adamgreig[m]> 5pm UTC
<cr1901> Ahhh that still works fine
<_whitenotifier-9> [amaranth-lang/amaranth-yosys] whitequark pushed 1 commit to develop [+1/-1/±0] https://github.com/amaranth-lang/amaranth-yosys/compare/a6c1aa67b529...3cdff5d800cf
<_whitenotifier-9> [amaranth-lang/amaranth-yosys] whitequark 3cdff5d - Synchronize workflows with YoWASP.
<_whitenotifier-9> [amaranth-lang/amaranth-yosys] whitequark pushed 1 commit to develop [+1/-1/±0] https://github.com/amaranth-lang/amaranth-yosys/compare/3cdff5d800cf...9701062342c7
<_whitenotifier-9> [amaranth-lang/amaranth-yosys] whitequark 9701062 - Synchronize workflows with YoWASP.
<_whitenotifier-9> [YoWASP/yosys] whitequark pushed 1 commit to develop [+1/-1/±1] https://github.com/YoWASP/yosys/compare/423afd73aa96...bab76b8cd0a8
<_whitenotifier-9> [YoWASP/yosys] whitequark bab76b8 - Update workflows.
<_whitenotifier-9> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+1/-1/±1] https://github.com/YoWASP/nextpnr/compare/67183c53a1cd...5c995567544a
<_whitenotifier-9> [YoWASP/nextpnr] whitequark 5c99556 - Update workflows.
<_whitenotifier-9> [YoWASP/yosys] whitequark pushed 1 commit to develop [+1/-1/±1] https://github.com/YoWASP/yosys/compare/bab76b8cd0a8...256b8d931852
<_whitenotifier-9> [YoWASP/yosys] whitequark 256b8d9 - Update workflows.
<_whitenotifier-9> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+1/-1/±1] https://github.com/YoWASP/nextpnr/compare/5c995567544a...dd0d6ed1200e
<_whitenotifier-9> [YoWASP/nextpnr] whitequark dd0d6ed - Update workflows.
jjsuperpower has joined #amaranth-lang
jjsuperpower has quit [Client Quit]
jjsuperpower has joined #amaranth-lang
<jjsuperpower> I have a question:
<jjsuperpower> I have been learning how to use the FSM library in Amaranth and noticed something odd.
<jjsuperpower> Let's say we have a FSM with two states, state1 and state2, and one output (reset = 0). In state one, the output is set to 1 in the combinational domain.
<jjsuperpower> In state two, the output is not modified at all. The result is the output will  be high (1) in state one, but not in state two. However, if we  use the sequential domain in state one the output will be high in both states.
<jjsuperpower> From my understanding this makes creating mealy machines somewhat annoying as a combinational signal needs to be defined in  every state.
<jjsuperpower> My question is: Is this behavior intentional or am I doing something wrong? - Thanks
<whitequark> this is intentional
<whitequark> the current FSM sub-language is known to be limited, could you please create an issue with the description of your scenario so that it can be used as an input in a future redesign?
<jjsuperpower> I would be happy to. I should submit the issue to https://github.com/amaranth-lang/amaranth/issues, correct?
<whitequark> yes
<adamgreig[m]> jjsuperpower: how else could it work? would you want it to clear the output register in state 2 if you don't explicitly do anything there?