ChanServ changed the topic of #yosys to: Yosys Open SYnthesis Suite: https://github.com/YosysHQ/yosys/ | Channel logs: https://libera.irclog.whitequark.org/yosys/
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
mewt has quit [Ping timeout: 260 seconds]
mewt has joined #yosys
vidbina has quit [Ping timeout: 260 seconds]
vidbina has joined #yosys
kristianpaul has joined #yosys
kristianpaul has joined #yosys
kristianpaul has quit [Changing host]
strobo has quit [Ping timeout: 256 seconds]
strobo has joined #yosys
indy has quit [Ping timeout: 260 seconds]
indy has joined #yosys
kristianpaul has quit [Ping timeout: 256 seconds]
kristianpaul has joined #yosys
ZipCPU|Laptop has joined #yosys
ZipCPU|Laptop has quit [Client Quit]
ec has quit [Ping timeout: 276 seconds]
ec has joined #yosys
vidbina has quit [Ping timeout: 256 seconds]
vidbina has joined #yosys
kraiskil has joined #yosys
vidbina has quit [Ping timeout: 260 seconds]
kraiskil has quit [Remote host closed the connection]
vidbina has joined #yosys
vidbina has quit [Quit: vidbina]
uis has quit [Quit: ZNC 1.7.5 - https://znc.in]
uis has joined #yosys
srk_ has joined #yosys
srk has quit [Ping timeout: 260 seconds]
srk_ is now known as srk
emeb_mac has quit [Quit: Leaving.]
ec has quit [Remote host closed the connection]
ec_ has joined #yosys
lexano has quit [Remote host closed the connection]
emeb_mac has joined #yosys
ec_ has quit [Ping timeout: 276 seconds]
ec_ has joined #yosys
ec_ has quit [Remote host closed the connection]
ec_ has joined #yosys
lexano has joined #yosys
ec_ has quit [Ping timeout: 276 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 276 seconds]
ec_ has joined #yosys
ec_ has quit [Ping timeout: 276 seconds]
uis has quit [Quit: ZNC 1.7.5 - https://znc.in]
uis has joined #yosys