whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · play https://amaranth-lang.org/play/ · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 265 seconds]
Degi_ is now known as Degi
RufousNightjar has joined #amaranth-lang
RufousNightjar has quit [Client Quit]
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark pushed 2 commits to main [+1/-1/±11] https://github.com/amaranth-lang/rtl-debugger/compare/39d7507ed8f3...324949c45ec0
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark 906d33f - Log latency of CXXRTL server commands.
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark 324949c - Reimplement Reference Items / Query Interval using the new approach.
FFY00_ has joined #amaranth-lang
FFY00 has quit [Read error: Connection reset by peer]
Wanda[cis] has joined #amaranth-lang
<Wanda[cis]> ohh py3.13 is out
d_olex has quit [Ping timeout: 248 seconds]
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark pushed 1 commit to main [+1/-0/±13] https://github.com/amaranth-lang/rtl-debugger/compare/324949c45ec0...ede2a3dd6999
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark ede2a3d - Implement observer-based debug item value display.
jorolf[m] has joined #amaranth-lang
<jorolf[m]> Looking at lib.fifo.FIFOInterface, wouldn't it make sense to change the read and write ports to use lib.stream?
whitequark[cis] has joined #amaranth-lang
<whitequark[cis]> there's an undocumented stream adapter already
<whitequark[cis]> ideally the FIFOs would be fully stream based, but that's more tricky than it looks
<jorolf[m]> whitequark[cis]: Where would that be?
<jorolf[m]> FIFOInterface.w_stream? 🤔
d_olex has joined #amaranth-lang
key2 has joined #amaranth-lang
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark pushed 2 commits to main [+4/-1/±11] https://github.com/amaranth-lang/rtl-debugger/compare/ede2a3dd6999...a9492ad86dc9
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark f23addd - Factor out debug session management.
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark a9492ad - Implement watch list management.
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark pushed 1 commit to main [+1/-0/±5] https://github.com/amaranth-lang/rtl-debugger/compare/a9492ad86dc9...de28e8a99dc4
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark de28e8a - Implement watch list management.
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark pushed 1 commit to main [+0/-0/±6] https://github.com/amaranth-lang/rtl-debugger/compare/de28e8a99dc4...8ddd6976969f
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark 8ddd697 - Implement configurable radix.
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark pushed 1 commit to main [+1/-0/±6] https://github.com/amaranth-lang/rtl-debugger/compare/8ddd6976969f...7d7fe679963f
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark 7d7fe67 - Implement configurable radix.
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/rtl-debugger/compare/7d7fe679963f...4ea4944cc614
<_whitenotifier> [amaranth-lang/rtl-debugger] whitequark 4ea4944 - Use small caps to approximate look&feel of VS Code native tree view headers.
phire_ has joined #amaranth-lang
phire has quit [Ping timeout: 260 seconds]
John_K has joined #amaranth-lang
<John_K> hey folks, I'm trying to implement my first amaranth project and have been having a bit of trouble determining the newest / best way to connect everything together. I'm currently requesting resources from a platform object in my enumerate function, but this seems to be impossible to do in Simulation since the simulator class hard codes platform to
<John_K> None
<John_K> I'm implementing a 4-bit bidirectional bus with a unidirectional clk and control line
<John_K> would welcome any advice on how to deal with the bidirectional signals better as well
zyp[m] has joined #amaranth-lang
<zyp[m]> first of all I'd make the data signals one 4-bit signal rather than four independent single bit signals each
John_K has quit [Quit: Client closed]
John_K has joined #amaranth-lang
<John_K> well, it can operate in 1 or 4 bit mode
<John_K> rather, it starts in 1-bit, then switches to 4-bit. But that should still be possible as one 4-bit signal
duskwuff[m] has joined #amaranth-lang
<duskwuff[m]> I'd highly recommend looking at the Glasgow QSPI controller - there's a lot of thematic similarities
<duskwuff[m]> ignore the non-gateware bits (QSPIControllerInterface and QSPIControllerApplet)
<John_K> I had originally used that as inspiration, but then couldn't follow directly because of the Glasgow Ports that are used
<John_K> it has been a bit confusing to look at pads vs ports vs grabbing stuff from platform to figure out what pins to connect up to the logic
<John_K> I settled on platform as it seemed the cleanest, but then I can't simulate