whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
lf_ has joined #amaranth-lang
lf has quit [Ping timeout: 264 seconds]
<whitequark[cis]> does anyone here use implies? it has... strange semantics
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1112: hdl._nir: implement __repr__ on NIR classes. - https://github.com/amaranth-lang/amaranth/pull/1112
<Wanda[cis]> ... huh, we have implies?
<Wanda[cis]> it behaves exactly as I'd expect from a method of that name though (except maybe for being a bitwise operation, but then so are normal and/or in Amaranth)
<whitequark[cis]> I was considering writing a doc for it
<whitequark[cis]> and then realized that describing a method named implies with the return value list matching bitwise_binary_op seems insane
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1112-4014f6429c97be16d0c0c8b944e3b85437bd0583 - https://github.com/amaranth-lang/amaranth
<whitequark[cis]> by the way, I'm wondering when we could get rid of @ValueCastable.lowermethod
<whitequark[cis]> I'm... not sure we actually need it?
<Wanda[cis]> well
<Wanda[cis]> it enforces a contract. with violence.
<whitequark[cis]> well yes, but do we actually need that contract?
<Wanda[cis]> hmmm
<whitequark[cis]> ValueCastables are not actually included in the AST fragments
<whitequark[cis]> since they're instantly cast to a concrete representation
<Wanda[cis]> basically any AST class constructed with ValueCastable argument will instantly lower it, yes
<whitequark[cis]> so... why?
<Wanda[cis]> ... good question actually
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi 4a3a9a9 - hdl._nir: implement __repr__ on NIR classes.
<_whitenotifier-7> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/4014f6429c97...4a3a9a90e825
<_whitenotifier-7> [amaranth] whitequark closed pull request #1112: hdl._nir: implement __repr__ on NIR classes. - https://github.com/amaranth-lang/amaranth/pull/1112
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1112-4014f6429c97be16d0c0c8b944e3b85437bd0583 - https://github.com/amaranth-lang/amaranth
<whitequark[cis]> I think this was originally "because the person who most wanted something like ValueCastable was a complete asshole with a disregard for contracts in general, so I decided to make sure this one sticks"
<whitequark[cis]> (contracts such as, you know, "don't yell at me over IRC because I'm not doing the exact thing you're demanding I do"...)
<Wanda[cis]> ... yeah
<Wanda[cis]> I do remember that, unfortunately
<whitequark[cis]> ah, you were around. my condolences
<whitequark[cis]> should we just kill ValueCastable.lowermethod?
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±34] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/d73ab7d6d5cb...a8a9c3e363e4
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] a8a9c3e - Deploying to main from @ amaranth-lang/amaranth@4a3a9a90e825e366cb15974e1c4d0f03ddf8a007 🚀
<Wanda[cis]> I think so, yes
<Wanda[cis]> it's a very odd special case of enforcing one particular contract in *Castable interface
<whitequark[cis]> ok, I'm going to submit my WIP documentation changes and then we can do it
<whitequark[cis]> I've reordered everything in the Value* classes, so the potential for merge conflicts is sky-high
<Wanda[cis]> ... hmmm
<Wanda[cis]> looking at #1111 again
<Wanda[cis]> so I screwed up a bit, and forgot to also remove the matches() warning
<Wanda[cis]> but also
<Wanda[cis]> I don't think this part is true?
<whitequark[cis]> it's not?
<whitequark[cis]> oh shit.
<whitequark[cis]> well that's... a simple fix, but ouch
<whitequark[cis]> how could I have missed that? it's been like that for like two versions...
<whitequark[cis]> (I think basically no one uses patterns, which could be how)
* whitequark[cis] laughs nervously
<whitequark[cis]> I may not be very good at this whole "programming language" thing
<Wanda[cis]> I mean
<Wanda[cis]> it's just a docs screwup
<Wanda[cis]> I don't think the matches docs even existed for very long?
<whitequark[cis]> no it's intended to work that way
<whitequark[cis]> because Case works the way it's described
<Wanda[cis]> uh?
<Wanda[cis]> it... does?
<whitequark[cis]> pretty sure yes
<Wanda[cis]> no?
<whitequark[cis]> what?
<whitequark[cis]> ... hm
<Wanda[cis]> like
<Wanda[cis]> I've used that
<Wanda[cis]> I'm pretty sure it actually is written like an int literal
<Wanda[cis]> and it'd be very confusing otherwise
mindw0rk_ has joined #amaranth-lang
<whitequark[cis]> I swear I thought I implemented both Case and matches in the reverse order compared to an int literal
<whitequark[cis]> and I think that was the source of https://github.com/amaranth-lang/amaranth/issues/661?
feldim2425 has joined #amaranth-lang
<whitequark[cis]> I... hm
skipwich_ has joined #amaranth-lang
<whitequark[cis]> yeah ok, documenting matches in the reference is my next priority
sugarbee1 has joined #amaranth-lang
vup2 has joined #amaranth-lang
Degi_ has joined #amaranth-lang
Xesxen_ has joined #amaranth-lang
notgull has joined #amaranth-lang
mindw0rk has quit [Ping timeout: 264 seconds]
Raito_Bezarius has quit [Ping timeout: 264 seconds]
josuah has quit [Ping timeout: 264 seconds]
mindw0rk_ is now known as mindw0rk
feldim2425_ has quit [Ping timeout: 264 seconds]
lambda has quit [Ping timeout: 264 seconds]
Degi has quit [Ping timeout: 264 seconds]
skipwich has quit [Ping timeout: 264 seconds]
sugarbeet has quit [Ping timeout: 264 seconds]
vup has quit [Ping timeout: 264 seconds]
Xesxen has quit [Ping timeout: 264 seconds]
Degi_ is now known as Degi
<Wanda[cis]> Catherine: should I fix the `matches` docs in guide, or do you also have a lock on that file?
<whitequark[cis]> no lock on the guide
notgull has quit [Ping timeout: 256 seconds]
lambda has joined #amaranth-lang
Raito_Bezarius has joined #amaranth-lang
<whitequark[cis]> oh, right, I finally remembered why signals aren't hashable
<whitequark[cis]> because dict will call __eq__
<whitequark[cis]> istr Migen had some horrific workaround that basically made __eq__ return a boolean for the dict, which tried to guess that based on context clues
<whitequark[cis]> oh yeah, I guess it evaluated (== (sig a) (sig b)) to true/false as a special case
<Wanda[cis]> ... I see
<whitequark[cis]> because the dict does the equivalent of if a == b:, you see?
<whitequark[cis]> and if does __bool__.
<whitequark[cis]> I found the workaround horrific.
<_whitenotifier-7> [amaranth] whitequark opened pull request #1113: Add reference documentation for `Value`, `ValueCastable`, `ValueLike` - https://github.com/amaranth-lang/amaranth/pull/1113
<whitequark[cis]> https://github.com/amaranth-lang/amaranth/pull/1113 750 lines of pure docs goodness
<_whitenotifier-7> [amaranth] whitequark edited pull request #1113: Add reference documentation for `Value`, `ValueCastable`, `ValueLike` - https://github.com/amaranth-lang/amaranth/pull/1113
<whitequark[cis]> Wanda: could you review please?
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1113: Add reference documentation for `Value`, `ValueCastable`, `ValueLike` - https://github.com/amaranth-lang/amaranth/pull/1113#issuecomment-1940272526
<Wanda[cis]> on it
<Wanda[cis]> hm
<whitequark[cis]> maybe it should?
<Wanda[cis]> right, that's the "sequence container" case
<Wanda[cis]> that's... not really an option
<Wanda[cis]> since then you immediately have ~True not equal to False
<whitequark[cis]> ... as it is in Python...
<whitequark[cis]> ok, that has to be documented
<Wanda[cis]> I think ~ is the sole exception?
<Wanda[cis]> yeah it is
<tpw_rules> wow i did not know ~True behaved that way
<tpw_rules> learning every day
<tpw_rules> (also technically, does that matter since amaranth doesn't have infinite length bit sequences?)
<tpw_rules> i mean, maybe the statement should be "identical to the operation on a python int modulo the bit width"
<tpw_rules> but that only applies when you assign it to something
<whitequark[cis]> no, modulo the signedness
<tpw_rules> also, is bitwise negation the best description of that operator? i would think like complement, inversion, or NOT
<tpw_rules> it appears to be called the first by... IBM i
<whitequark[cis]> yeah agree
<Wanda[cis]> oh yay I found a bug
<whitequark[cis]> yeah!!
<whitequark[cis]> good job
<_whitenotifier-5> [amaranth] wanda-phi reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487073613
<_whitenotifier-5> [amaranth] wanda-phi reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487073945
<_whitenotifier-7> [amaranth] wanda-phi reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487076088
<_whitenotifier-5> [amaranth] wanda-phi reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487082600
<_whitenotifier-5> [amaranth] wanda-phi reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487083330
<Wanda[cis]> I'm still reviewing, just flushed the current batch of comments
<_whitenotifier-7> [amaranth] whitequark reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487085342
<whitequark[cis]> >>> Signal(signed(10).shift_right(11)).shape()
<whitequark[cis]> I... don't understand how you expect that to work?
<Wanda[cis]> ugh
<Wanda[cis]> I fucked up parentheses when trimming
<_whitenotifier-5> [amaranth] whitequark reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487086269
<whitequark[cis]> I think this is like, fine?
<Wanda[cis]> ... and oops, same thing happens on calling as_signed() on a 0-width value
<whitequark[cis]> just needs to be documented I guess
<Wanda[cis]> no
<Wanda[cis]> it creates a 0-width signed value
<Wanda[cis]> which explodes when you try to get its shape()
<whitequark[cis]> oh yeah .as_signed() needs a check
<Wanda[cis]> for shift_right, it should create a 1-bit value, to preserve the sign
<Wanda[cis]> for as_signed, yeah, error check
<Wanda[cis]> * for shift_right, it should create a 1-bit value, to preserve the sign bit
<whitequark[cis]> 'kay, want to implement that?
<Wanda[cis]> this will cause a conflict
<whitequark[cis]> after merging the doc PR
<Wanda[cis]> fine
<whitequark[cis]> I spent 10 hours straight writing docs
<whitequark[cis]> any other comments?
<whitequark[cis]> oh and remove ValueCastable.lowermethod too I guess
<Wanda[cis]> as for lowermethod
<Wanda[cis]> we need to actually keep the damn thing to avoid breaking things, right?
<whitequark[cis]> yeah, deprecate it
<Wanda[cis]> ok
<_whitenotifier-5> [amaranth] whitequark opened issue #1114: Deprecate and remove `ValueCastable.lowermethod` - https://github.com/amaranth-lang/amaranth/issues/1114
<_whitenotifier-7> [amaranth] wanda-phi reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487091436
<whitequark[cis]> re shape() returning a ShapeLike or Shape
<whitequark[cis]> at first I thought leaving it open to having ShapeCastables returned is fine
<_whitenotifier-7> [amaranth] wanda-phi reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487092907
<whitequark[cis]> but on second thought, it's probably not. consider how this would actually be used: you'd have like Signal or Const return some odd user object as its shape
<whitequark[cis]> that's... not a great idea
<Wanda[cis]> oh right, signals could have funny shapes
<Wanda[cis]> that's... vaguely useful, but yeah
<whitequark[cis]> when would this even be useful?
<_whitenotifier-5> [amaranth] whitequark reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487093994
<_whitenotifier-7> [amaranth] wanda-phi reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487094915
<tpw_rules> some sort of struct typing?
<_whitenotifier-5> [amaranth] wanda-phi reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487094915
<whitequark[cis]> that's not really specific enough to be an answer
<tpw_rules> like the shape could contain fields, or is it expected to always ultimately be an integer type thing?
<whitequark[cis]> are you familiar with amaranth.lib.data?
<tpw_rules> not enough
<whitequark[cis]> I think I want a practically derived responses to my question, yeah
<tpw_rules> fair, soz
<_whitenotifier-5> [amaranth] wanda-phi reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487097245
<_whitenotifier-5> [amaranth] whitequark reviewed pull request #1113 commit - https://github.com/amaranth-lang/amaranth/pull/1113#discussion_r1487099537
<whitequark[cis]> Wanda: I think all done?
<Wanda[cis]> I'm still reading
<_whitenotifier-5> [amaranth] whitequark commented on pull request #740: hdl.{ast,dsl}: allow Cat in matches/Case. - https://github.com/amaranth-lang/amaranth/pull/740#issuecomment-1940350795
<Wanda[cis]> done
<whitequark[cis]> thank you
Degi has quit [Ping timeout: 256 seconds]
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1113-4a3a9a90e825e366cb15974e1c4d0f03ddf8a007 - https://github.com/amaranth-lang/amaranth
Degi has joined #amaranth-lang
<_whitenotifier-5> [amaranth-lang/amaranth] whitequark 0056e98 - docs/reference: document `Value`, `ValueCastable`, `ValueLike`.
<_whitenotifier-7> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±4] https://github.com/amaranth-lang/amaranth/compare/4a3a9a90e825...0056e982c5a8
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1113-4a3a9a90e825e366cb15974e1c4d0f03ddf8a007 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] whitequark closed pull request #1113: Add reference documentation for `Value`, `ValueCastable`, `ValueLike` - https://github.com/amaranth-lang/amaranth/pull/1113
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 19a7e2d - Deploying to main from @ amaranth-lang/amaranth@0056e982c5a85b26935b8c750cc00cf4b1e66ebc 🚀
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±41] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/a8a9c3e363e4...19a7e2d9259d
<whitequark[cis]> Wanda: do we allow non-signal assignables in outputs of instance with new IR?
<Wanda[cis]> Cat and Slice
<Wanda[cis]> no Part nor ArrayProxy
<Wanda[cis]> which is, I think, the same level of support as the old RTLIL backend had
<whitequark[cis]> I'm fairly sure it rejected slices?
<whitequark[cis]> I guess maybe not
<Wanda[cis]> it did not; that's why we had bugs about partially-driven signals in the first place
<whitequark[cis]> right.
<whitequark[cis]> ok
<whitequark[cis]> by the way, any view on whether ArrayProxy should become something like Array.Proxy to avoid namespace pollution?
<Wanda[cis]> no opinion really
<Wanda[cis]> it's a private class either way, right?
<whitequark[cis]> well... not really
<whitequark[cis]> it has to be documented, otherwise how will we describe operations on it?
<whitequark[cis]> or, hm.
<Wanda[cis]> it's just a value
<Wanda[cis]> Array is the one that needs to be documented
<whitequark[cis]> it's a value with __getattr__ and `getitem~
<whitequark[cis]> s//`/, s//`/, s/`getitem~/`__getitem__`/
<Wanda[cis]> uh?
<whitequark[cis]> look it up
<Wanda[cis]> ohhhh
<Wanda[cis]> gods
<Wanda[cis]> right
<Wanda[cis]> I keep forgetting it
<whitequark[cis]> it does something pretty fucking weird
<Wanda[cis]> ... by the way
<Wanda[cis]> this seems to be the counterexample to "valuecastables get instantly lowered" thing
<whitequark[cis]> hm.
<whitequark[cis]> right
<Wanda[cis]> it doesn't even have to be a valid Value, really
<Wanda[cis]> actually
<Wanda[cis]> shouldn't it be a ValueCastable?
<whitequark[cis]> castable to what?
<Wanda[cis]> ArrayProxy is a ValueCastable that lowers to Choice or whatever we end up calling it
<whitequark[cis]> well yes
<whitequark[cis]> but we don't have Choice
<whitequark[cis]> so right now it's not ValueCastable to not make the codegen too horribel
<whitequark[cis]> s//`/, s//`/, s/horribel/horrible/
<Wanda[cis]> well
<Wanda[cis]> that can be fixed
<whitequark[cis]> (I suppose you could lower it to a Cat(elems).word_select(index)
<whitequark[cis]> s//`/, s//`)/
<whitequark[cis]> actually... isn't that like, completely equivalent to our Choice? modulo signed/unsigned
<whitequark[cis]> I guess what might make good sense is to add Choice and then lower both Part and ArrayProxy to it?
<Wanda[cis]> Choice supports patterns, right?
<Wanda[cis]> it's strictly more powerful
<whitequark[cis]> oh yeah! good point
<whitequark[cis]> and yeah, very happy to add Choice as a private class
<Wanda[cis]> I'm not convinced about lowering Part, that $shift will likely codegen better than a switch
<whitequark[cis]> I guess only `Part` with `stride>1`?
<Wanda[cis]> you mean word_select? yeah that'd be just a normal binary mux
<whitequark[cis]> yeah, we could simplify codegen and everything for Part by lowering word_select to Choice
<Wanda[cis]> so... ArrayProxy becomes ValueCastable that lowers to Choice, word_select instantiates Choice directly, Part gets changed to remove stride; on NIR level, we kill ArrayMux (and implement Choice via existing AssignmentList) and likewise simplify Part
<Wanda[cis]> I like this
<whitequark[cis]> yep!
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1115: hdl._ast: deprecate `ValueCastable.lowermethod`. - https://github.com/amaranth-lang/amaranth/pull/1115
<_whitenotifier-5> [amaranth] whitequark edited pull request #1115: hdl._ast: deprecate `ValueCastable.lowermethod`. - https://github.com/amaranth-lang/amaranth/pull/1115
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1115: hdl._ast: deprecate `ValueCastable.lowermethod`. - https://github.com/amaranth-lang/amaranth/pull/1115#issuecomment-1940402801
<_whitenotifier-7> [amaranth] whitequark reviewed pull request #1115 commit - https://github.com/amaranth-lang/amaranth/pull/1115#discussion_r1487154786
<_whitenotifier-7> [amaranth] wanda-phi opened pull request #1116: hdl._ast: fix `shift_right` and `as_signed` edge cases. - https://github.com/amaranth-lang/amaranth/pull/1116
jfng[m] has quit [Ping timeout: 255 seconds]
jfng[m] has joined #amaranth-lang
vup2 has quit [Ping timeout: 255 seconds]
zyp[m] has quit [Ping timeout: 255 seconds]
zyp[m] has joined #amaranth-lang
vup has joined #amaranth-lang
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1116: hdl._ast: fix `shift_right` and `as_signed` edge cases. - https://github.com/amaranth-lang/amaranth/pull/1116#issuecomment-1940410256
<Wanda[cis]> ... I have too many branches open, I'm getting lost
<Wanda[cis]> also 1116 should get 0.4 backport, right
<Wanda[cis]> uh-huh, cherry-pick just got completely lost in the ast.py changes
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1115-0056e982c5a85b26935b8c750cc00cf4b1e66ebc - https://github.com/amaranth-lang/amaranth
<whitequark[cis]> Wanda: added backport tag
<_whitenotifier-7> [amaranth] wanda-phi opened pull request #1117: hdl.ast: fix `shift_right` and `as_signed` edge cases. [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1117
<tpw_rules> would it be possible to backport the new log2 functions? amarath-soc needs them
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1115-0056e982c5a85b26935b8c750cc00cf4b1e66ebc - https://github.com/amaranth-lang/amaranth
<Wanda[cis]> oops CI flaky
<tpw_rules> maybe i should keep tracking main for that though
<tpw_rules> there's also that yosys bug
<whitequark[cis]> they could be backported
<_whitenotifier-7> [amaranth] codecov[bot] commented on pull request #1117: hdl.ast: fix `shift_right` and `as_signed` edge cases. [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1117#issuecomment-1940417178
<whitequark[cis]> the yosys bug existed only very briefly
<tpw_rules> ok, i ran into the check for it. not sure if you want that in the release branch right now
<whitequark[cis]> were you using 0.37 release?
<tpw_rules> yes
<whitequark[cis]> right. 0.38 was released a few days ago
<whitequark[cis]> I should bump amaranth-yosys to 0.38 and then require that in both the backport and the main branch
<whitequark[cis]> there's actually something else I want in the backport
<tpw_rules> ok sounds good, just reminding
<_whitenotifier-7> [amaranth] whitequark opened pull request #1118: Minor documentation fixes - https://github.com/amaranth-lang/amaranth/pull/1118
<_whitenotifier-7> [amaranth] whitequark edited pull request #1118: Minor documentation fixes - https://github.com/amaranth-lang/amaranth/pull/1118
<_whitenotifier-5> [amaranth] wanda-phi reviewed pull request #1118 commit - https://github.com/amaranth-lang/amaranth/pull/1118#discussion_r1487173911
<_whitenotifier-7> [amaranth] whitequark reviewed pull request #1118 commit - https://github.com/amaranth-lang/amaranth/pull/1118#discussion_r1487174592
<whitequark[cis]> "shape-catable" is definitely a typo of all time
<whitequark[cis]> I think I'll just leave it that way
<Wanda[cis]> meow.
<_whitenotifier-7> [amaranth] codecov[bot] commented on pull request #1118: Minor documentation fixes - https://github.com/amaranth-lang/amaranth/pull/1118#issuecomment-1940420377
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1116-0056e982c5a85b26935b8c750cc00cf4b1e66ebc - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] whitequark closed pull request #1117: hdl.ast: fix `shift_right` and `as_signed` edge cases. [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1117
<whitequark[cis]> tpw_rules: I submitted a Yosys 0.38 job for amaranth-yosys
<whitequark[cis]> once that finishes, I can bump the requirement
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1115-e2fd819742a1d9934f051079a48c8a4faece439f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] whitequark commented on pull request #1111: docs: remove leftover TODO and warning from #1003. - https://github.com/amaranth-lang/amaranth/pull/1111#issuecomment-1940425084
<_whitenotifier-5> [amaranth] wanda-phi commented on pull request #1111: docs: remove leftover TODO and warning from #1003. - https://github.com/amaranth-lang/amaranth/pull/1111#issuecomment-1940426643
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi e2fd819 - hdl._ast: fix `shift_right` and `as_signed` edge cases.
<_whitenotifier-7> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth/compare/0056e982c5a8...e2fd819742a1
<_whitenotifier-7> [amaranth] whitequark closed pull request #1116: hdl._ast: fix `shift_right` and `as_signed` edge cases. - https://github.com/amaranth-lang/amaranth/pull/1116
<_whitenotifier-5> [amaranth] whitequark opened pull request #1119: Require Yosys 0.38 - https://github.com/amaranth-lang/amaranth/pull/1119
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1116-0056e982c5a85b26935b8c750cc00cf4b1e66ebc - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 06cbabd - Deploying to main from @ amaranth-lang/amaranth@e2fd819742a1d9934f051079a48c8a4faece439f 🚀
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±35] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/19a7e2d9259d...06cbabda1f42
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1115-e2fd819742a1d9934f051079a48c8a4faece439f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] whitequark deleted branch sim-bench-processes - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] whitequark opened pull request #1120: Require Yosys 0.38 [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1120
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1119: Require Yosys 0.38 - https://github.com/amaranth-lang/amaranth/pull/1119#issuecomment-1940430744
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1115-e2fd819742a1d9934f051079a48c8a4faece439f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1120: Require Yosys 0.38 [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1120#issuecomment-1940432155
<Wanda[cis]> retries will continue until morale improves
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1119-0da439cce1f2dc9e9acbf0c2e360513c88bb4e72 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] whitequark closed pull request #1120: Require Yosys 0.38 [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1120
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi 0da439c - hdl._ast: deprecate `ValueCastable.lowermethod`.
<_whitenotifier-7> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±7] https://github.com/amaranth-lang/amaranth/compare/e2fd819742a1...0da439cce1f2
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1115-e2fd819742a1d9934f051079a48c8a4faece439f - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] whitequark closed pull request #1115: hdl._ast: deprecate `ValueCastable.lowermethod`. - https://github.com/amaranth-lang/amaranth/pull/1115
<_whitenotifier-7> [amaranth] whitequark closed issue #1114: Deprecate and remove `ValueCastable.lowermethod` - https://github.com/amaranth-lang/amaranth/issues/1114
<_whitenotifier-5> [amaranth] wanda-phi commented on pull request #1111: docs: remove leftover TODO and warning from #1003. - https://github.com/amaranth-lang/amaranth/pull/1111#issuecomment-1940437592
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1119-0da439cce1f2dc9e9acbf0c2e360513c88bb4e72 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±36] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/06cbabda1f42...1d743af1a269
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 1d743af - Deploying to main from @ amaranth-lang/amaranth@0da439cce1f2dc9e9acbf0c2e360513c88bb4e72 🚀
notgull has joined #amaranth-lang
<_whitenotifier-5> [amaranth] whitequark reviewed pull request #1111 commit - https://github.com/amaranth-lang/amaranth/pull/1111#discussion_r1487206457
<_whitenotifier-5> [amaranth] mcclure reviewed pull request #1111 commit - https://github.com/amaranth-lang/amaranth/pull/1111#discussion_r1487207247
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1119-0da439cce1f2dc9e9acbf0c2e360513c88bb4e72 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] whitequark reviewed pull request #1111 commit - https://github.com/amaranth-lang/amaranth/pull/1111#discussion_r1487208398
notgull has quit [Ping timeout: 264 seconds]
<_whitenotifier-5> [amaranth] whitequark reviewed pull request #1111 commit - https://github.com/amaranth-lang/amaranth/pull/1111#discussion_r1487211026
<_whitenotifier-5> [amaranth-lang/amaranth] whitequark eebb6ec - back.verilog: require Yosys 0.38.
<_whitenotifier-7> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth/compare/0da439cce1f2...eebb6ec3bb3e
<_whitenotifier-5> [amaranth] whitequark closed pull request #1119: Require Yosys 0.38 - https://github.com/amaranth-lang/amaranth/pull/1119
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1119-0da439cce1f2dc9e9acbf0c2e360513c88bb4e72 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 7facfd3 - Deploying to main from @ amaranth-lang/amaranth@eebb6ec3bb3ebb8e42b5ae8cbd232c02f2be962d 🚀
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±34] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/1d743af1a269...7facfd38ed1f
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1121: vendor.lattice_ice40: use `SB_DFF` instead of `$dff`. - https://github.com/amaranth-lang/amaranth/pull/1121
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1121: vendor.lattice_ice40: use `SB_DFF` instead of `$dff`. - https://github.com/amaranth-lang/amaranth/pull/1121#issuecomment-1940459251
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1121-eebb6ec3bb3ebb8e42b5ae8cbd232c02f2be962d - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1118-1dc1d2d7093b33ad9b2bf7a36194231e1aa6972d - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/eebb6ec3bb3e...1dc1d2d7093b
<_whitenotifier-7> [amaranth-lang/amaranth] wanda-phi 1dc1d2d - vendor.lattice_ice40: use `SB_DFF` instead of `$dff`.
<_whitenotifier-7> [amaranth] whitequark closed pull request #1121: vendor.lattice_ice40: use `SB_DFF` instead of `$dff`. - https://github.com/amaranth-lang/amaranth/pull/1121
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1121-eebb6ec3bb3ebb8e42b5ae8cbd232c02f2be962d - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±34] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/7facfd38ed1f...e283bfac6b1c
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] e283bfa - Deploying to main from @ amaranth-lang/amaranth@1dc1d2d7093b33ad9b2bf7a36194231e1aa6972d 🚀
<_whitenotifier-5> [amaranth-lang/amaranth] whitequark 2dea83c - docs/reference: minor fixes.
<_whitenotifier-7> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 2 commits to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth/compare/1dc1d2d7093b...3867623727f4
<_whitenotifier-5> [amaranth-lang/amaranth] whitequark 3867623 - docs/reference: describe out-of-bounds behavior of `bit_select`/`word_select`.
<_whitenotifier-7> [amaranth] whitequark closed pull request #1118: Minor documentation fixes - https://github.com/amaranth-lang/amaranth/pull/1118
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1118-1dc1d2d7093b33ad9b2bf7a36194231e1aa6972d - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±36] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/e283bfac6b1c...435633e56c70
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 435633e - Deploying to main from @ amaranth-lang/amaranth@3867623727f4a23b2be5c85e341847d1ba38fbe8 🚀
<Wanda[cis]> ... I think I found a bug in the intel platform
<Wanda[cis]> eh.
<_whitenotifier-7> [amaranth] wanda-phi opened pull request #1122: vendor._intel: use `dff` instead of `$dff`. - https://github.com/amaranth-lang/amaranth/pull/1122
<Wanda[cis]> now presumably it should be tested or something
<Wanda[cis]> I nominate... someone who is not me.
<whitequark[cis]> #yolo
<whitequark[cis]> (we may want to ask tpw_rules...)
<Wanda[cis]> yeah, it's not like the previous version worked or anything
<whitequark[cis]> oh, it didn't?
<Wanda[cis]> (the last use of $dff failed to replicate pin.oe for all bits of the signal)
<whitequark[cis]> oh.
<_whitenotifier-7> [amaranth] codecov[bot] commented on pull request #1122: vendor._intel: use `dff` instead of `$dff`. - https://github.com/amaranth-lang/amaranth/pull/1122#issuecomment-1940475680
<Wanda[cis]> actually how does codecov not complain about this stuff
<whitequark[cis]> 'cause we don't even load vendor.* files in tests
<Wanda[cis]> oh.
<whitequark[cis]> cursed innit
<_whitenotifier-7> [amaranth] whitequark commented on pull request #1122: vendor._intel: use `dff` instead of `$dff`. - https://github.com/amaranth-lang/amaranth/pull/1122#issuecomment-1940488027
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1122-3867623727f4a23b2be5c85e341847d1ba38fbe8 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/3867623727f4...a0c8b18546e4
<_whitenotifier-7> [amaranth-lang/amaranth] wanda-phi a0c8b18 - vendor._intel: use `dff` instead of `$dff`.
<_whitenotifier-7> [amaranth] whitequark closed pull request #1122: vendor._intel: use `dff` instead of `$dff`. - https://github.com/amaranth-lang/amaranth/pull/1122
<_whitenotifier-5> [amaranth] whitequark closed issue #1046: Don't instantiate `$dff` - https://github.com/amaranth-lang/amaranth/issues/1046
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1122-3867623727f4a23b2be5c85e341847d1ba38fbe8 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±34] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/435633e56c70...a7d5ebd9117b
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] a7d5ebd - Deploying to main from @ amaranth-lang/amaranth@a0c8b18546e4d95791e55edb67424e936b984e38 🚀
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1111-a0c8b18546e4d95791e55edb67424e936b984e38 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi 5797643 - docs: remove leftover TODO and warning from #1003, fix `matches` docs.
<_whitenotifier-7> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/a0c8b18546e4...5797643c9c86
<_whitenotifier-7> [amaranth] whitequark closed pull request #1111: docs: remove leftover TODO and warning from #1003. - https://github.com/amaranth-lang/amaranth/pull/1111
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1111-a0c8b18546e4d95791e55edb67424e936b984e38 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] a55f6f0 - Deploying to main from @ amaranth-lang/amaranth@5797643c9c868049c387bf7e100e1261ed6619ca 🚀
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±38] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/a7d5ebd9117b...a55f6f0cda4b
<_whitenotifier-5> [amaranth] whitequark opened pull request #1123: Add full support for running Amaranth on Pyodide - https://github.com/amaranth-lang/amaranth/pull/1123
<_whitenotifier-7> [amaranth] whitequark edited pull request #1123: Add full support for running Amaranth on Pyodide - https://github.com/amaranth-lang/amaranth/pull/1123
<_whitenotifier-5> [amaranth] whitequark edited pull request #1123: Add full support for running Amaranth on Pyodide - https://github.com/amaranth-lang/amaranth/pull/1123
<_whitenotifier-7> [amaranth] codecov[bot] commented on pull request #1123: Add full support for running Amaranth on Pyodide - https://github.com/amaranth-lang/amaranth/pull/1123#issuecomment-1940562361
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1123-5797643c9c868049c387bf7e100e1261ed6619ca - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 2 commits to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth/compare/5797643c9c86...3cb5f63aba97
<_whitenotifier-7> [amaranth-lang/amaranth] whitequark 9aebf49 - sim.pysim: only import pyvcd when needed.
<_whitenotifier-5> [amaranth-lang/amaranth] whitequark 3cb5f63 - _toolchain.yosys: add JavaScript (Pyodide) support.
<_whitenotifier-7> [amaranth] whitequark closed pull request #1123: Add full support for running Amaranth on Pyodide - https://github.com/amaranth-lang/amaranth/pull/1123
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1123-5797643c9c868049c387bf7e100e1261ed6619ca - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±34] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/a55f6f0cda4b...5d4b79fb1509
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 5d4b79f - Deploying to main from @ amaranth-lang/amaranth@3cb5f63aba974fce55519b04efdd8f84fb6d7dcc 🚀
<Wanda[cis]> Catherine: oh hey, NIR has fixed https://github.com/amaranth-lang/amaranth/issues/711
<_whitenotifier-7> [amaranth] whitequark closed issue #711: _convert_fragment() has polynomial time complexity as signals are added to design - https://github.com/amaranth-lang/amaranth/issues/711
<_whitenotifier-5> [amaranth] whitequark commented on issue #711: _convert_fragment() has polynomial time complexity as signals are added to design - https://github.com/amaranth-lang/amaranth/issues/711#issuecomment-1940613368
<_whitenotifier-7> [amaranth] whitequark opened pull request #1124: Add full support for running Amaranth on Pyodide [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1124
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1124: Add full support for running Amaranth on Pyodide [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1124#issuecomment-1940733023
<_whitenotifier-7> [amaranth] whitequark closed pull request #1124: Add full support for running Amaranth on Pyodide [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1124
<_whitenotifier-5> [amaranth] whitequark opened pull request #1125: docs: use `:py:` role for inline Python code, not `:pc:`. NFC - https://github.com/amaranth-lang/amaranth/pull/1125
<_whitenotifier-5> [amaranth] whitequark edited pull request #1125: docs: use `:py:` role for inline Python code, not `:pc:`. NFC - https://github.com/amaranth-lang/amaranth/pull/1125
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1125: docs: use `:py:` role for inline Python code, not `:pc:`. NFC - https://github.com/amaranth-lang/amaranth/pull/1125#issuecomment-1941127980
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1125-3cb5f63aba974fce55519b04efdd8f84fb6d7dcc - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±6] https://github.com/amaranth-lang/amaranth/compare/3cb5f63aba97...b9c9948038b3
<_whitenotifier-7> [amaranth-lang/amaranth] whitequark b9c9948 - docs: use `:py:` role for inline Python code, not `:pc:`.
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1125-3cb5f63aba974fce55519b04efdd8f84fb6d7dcc - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] whitequark closed pull request #1125: docs: use `:py:` role for inline Python code, not `:pc:`. NFC - https://github.com/amaranth-lang/amaranth/pull/1125
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 67888f1 - Deploying to main from @ amaranth-lang/amaranth@b9c9948038b39f3f454ed3db77ec4bd312903a15 🚀
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±46] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/5d4b79fb1509...67888f126e5d
<_whitenotifier-7> [amaranth] whitequark opened pull request #1126: CI: publish packages automatically - https://github.com/amaranth-lang/amaranth/pull/1126
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1126: CI: publish packages automatically - https://github.com/amaranth-lang/amaranth/pull/1126#issuecomment-1941255573
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1126-b9c9948038b39f3f454ed3db77ec4bd312903a15 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1126-b9c9948038b39f3f454ed3db77ec4bd312903a15 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1126-b9c9948038b39f3f454ed3db77ec4bd312903a15 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1126-b9c9948038b39f3f454ed3db77ec4bd312903a15 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1126-b9c9948038b39f3f454ed3db77ec4bd312903a15 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] whitequark opened issue #1127: Make `Shape` immutable and hashable - https://github.com/amaranth-lang/amaranth/issues/1127
<_whitenotifier-5> [amaranth] whitequark closed issue #839: RecursionError in back.rtlil when compiling assignments with many operands - https://github.com/amaranth-lang/amaranth/issues/839
<_whitenotifier-7> [amaranth] whitequark commented on issue #839: RecursionError in back.rtlil when compiling assignments with many operands - https://github.com/amaranth-lang/amaranth/issues/839#issuecomment-1941309140
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/b9c9948038b3...841ab94a766a
<_whitenotifier-7> [amaranth-lang/amaranth] whitequark 841ab94 - CI: publish packages automatically.
<_whitenotifier-7> [amaranth] whitequark closed pull request #1126: CI: publish packages automatically - https://github.com/amaranth-lang/amaranth/pull/1126
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1126-b9c9948038b39f3f454ed3db77ec4bd312903a15 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±34] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/67888f126e5d...0ac9ccd8fe0d
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 0ac9ccd - Deploying to main from @ amaranth-lang/amaranth@841ab94a766afe4793e6d06e1b0a947ad0efec37 🚀
<_whitenotifier-7> [amaranth] whitequark commented on issue #704: Detect and reject netlists with combinatorial loops - https://github.com/amaranth-lang/amaranth/issues/704#issuecomment-1941347123
<_whitenotifier-7> [amaranth] whitequark opened pull request #1128: Update README - https://github.com/amaranth-lang/amaranth/pull/1128
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1128: Update README - https://github.com/amaranth-lang/amaranth/pull/1128#issuecomment-1941373071
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1128-841ab94a766afe4793e6d06e1b0a947ad0efec37 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 3 commits to main [+0/-0/±6] https://github.com/amaranth-lang/amaranth/compare/841ab94a766a...4a8dd808c308
<_whitenotifier-7> [amaranth-lang/amaranth] whitequark 0eac9c3 - CI: verify package metadata on all builds.
<_whitenotifier-5> [amaranth-lang/amaranth] whitequark 8677ced - Update README.
<_whitenotifier-7> [amaranth-lang/amaranth] whitequark 4a8dd80 - Ensure we build sensible sdists.
<_whitenotifier-7> [amaranth] whitequark closed pull request #1128: Update README - https://github.com/amaranth-lang/amaranth/pull/1128
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1128-841ab94a766afe4793e6d06e1b0a947ad0efec37 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 11ed112 - Deploying to main from @ amaranth-lang/amaranth@4a8dd808c30820fbc53fe919f04fd2b96b62cfd9 🚀
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±34] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/0ac9ccd8fe0d...11ed112aed2a
<_whitenotifier-7> [amaranth-lang/amaranth-soc] jfng tagged 1e1490e as v0.1a https://github.com/amaranth-lang/amaranth-soc/commit/1e1490ef85433493b9c43050eae8925ec85b2a53
<_whitenotifier-5> [amaranth-soc] jfng created tag v0.1a - https://github.com/amaranth-lang/amaranth-soc
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+53/-0/±0] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/11ed112aed2a...4d1449b16e4f
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] jfng 4d1449b - Deploying to main from @ amaranth-lang/amaranth-soc@1e1490ef85433493b9c43050eae8925ec85b2a53 🚀
<_whitenotifier-5> [amaranth] whitequark opened pull request #1129: Remember origins of a fragment during elaboration - https://github.com/amaranth-lang/amaranth/pull/1129
<_whitenotifier-7> [amaranth] whitequark edited pull request #1129: Remember origins of a fragment during elaboration - https://github.com/amaranth-lang/amaranth/pull/1129
<_whitenotifier-7> [amaranth] codecov[bot] commented on pull request #1129: Remember origins of a fragment during elaboration - https://github.com/amaranth-lang/amaranth/pull/1129#issuecomment-1941756288
<_whitenotifier-5> [amaranth] whitequark edited pull request #1129: Remember origins of a fragment during elaboration - https://github.com/amaranth-lang/amaranth/pull/1129
<_whitenotifier-5> [amaranth] whitequark edited pull request #1129: Remember origins of a fragment during elaboration - https://github.com/amaranth-lang/amaranth/pull/1129
<tpw_rules> oh, i have not been using that stuff. is there anything additional to test
josuah has joined #amaranth-lang
<_whitenotifier-5> [amaranth] whitequark opened issue #1130: Attach `src` attributes to emitted `module`s - https://github.com/amaranth-lang/amaranth/issues/1130
<_whitenotifier-5> [amaranth] whitequark opened issue #1131: Structured source locations - https://github.com/amaranth-lang/amaranth/issues/1131
polymerizedsage has joined #amaranth-lang
<tpw_rules> did the AMD laywers get ahold of you (re readme)
<galibert[m]> I’m sure they sent that
adamgreig[m] has joined #amaranth-lang
<adamgreig[m]> 👀 https://surfer-project.org/
<adamgreig[m]> neat, a little further along the "unseat gtkwave" timeline
<whitequark[cis]> yep, I'm collaborating with the author of Surfer already
<whitequark[cis]> it actually has some CXXRTL debug server support
<adamgreig[m]> oh, nice!
notgull has joined #amaranth-lang
notgull has quit [Ping timeout: 272 seconds]
<Wanda[cis]> the list of supported AMD devices is incomplete btw
<Wanda[cis]> I'm just going to make a PR
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1132: README: add the full list of supported AMD devices. - https://github.com/amaranth-lang/amaranth/pull/1132
<_whitenotifier-7> [amaranth] codecov[bot] commented on pull request #1132: README: add the full list of supported AMD devices. - https://github.com/amaranth-lang/amaranth/pull/1132#issuecomment-1942672397
<Wanda[cis]> I suppose it'd be good to also document what Intel devices are actually supported, except I have absolutely no idea what they are
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1132-4a8dd808c30820fbc53fe919f04fd2b96b62cfd9 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] wanda-phi 353a8ce - README: add the full list of supported AMD devices.
<_whitenotifier-7> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/4a8dd808c308...353a8ce7e313
<_whitenotifier-5> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1132-4a8dd808c30820fbc53fe919f04fd2b96b62cfd9 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] whitequark closed pull request #1132: README: add the full list of supported AMD devices. - https://github.com/amaranth-lang/amaranth/pull/1132
<Wanda[cis]> Catherine: remind me, when is new IO/platform stuff on the roadmap?
<whitequark[cis]> no specific time
<Wanda[cis]> ok
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±34] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/4d1449b16e4f...5788005b7f78
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 5788005 - Deploying to main from @ amaranth-lang/amaranth@353a8ce7e313e8d203635151402ef88a0171bf45 🚀
<Wanda[cis]> so not blocking 0.5, ok
<whitequark[cis]> yeah
<tpw_rules> i'd like to be looped in a little for the HPS stuff
<tpw_rules> i've gotten rather familiar with that on the intel side, and i had seen some old issues which proposed such a thing might be part of that revamp?
<Wanda[cis]> oh, I was thinking of the exact same thing actually, except Zynq
<Wanda[cis]> just oh
<Wanda[cis]> glanced at some of my old codew
<Wanda[cis]> s/codew/code/
<tpw_rules> soon™ i plan to share an amaranth Elaboratable which handles it for cyclone v
<Wanda[cis]> oh, you want to just wrap the HPS?
<tpw_rules> well that's what i'll be doing in my current design, to finally cut out all the verilog
<tpw_rules> i'd like to see it as part of the platform system eventually, but that way we'll identify how to use it and guide that design
<Wanda[cis]> I think wrapping it as an elaboratable is not the right thing in the first place
<tpw_rules> i mean, it's what i can do with the tools i have now
<Wanda[cis]> I was thinking of having the platform create the Instance, and giving you individual AXI ports or whatever as a requestable resource or something
<Wanda[cis]> well you can subclass the platform
<tpw_rules> like i said, the point really is to collect the knowledge into one place. the elaboratable right now would function like that pretty much, just elaborate the guts to actually connect it up and configure everything
<tpw_rules> the missing bit is to be able to hook logic behind a resource i think
<tpw_rules> if you'd prefer to see it as methods on the platform, then sure, but i don't know how to hook it into the resource system
<Wanda[cis]> I mean, you can just override the request method?
<tpw_rules> does the platform get a chance to add its own elaboratable?
<tpw_rules> i guess in build
<tpw_rules> mmh we'll see how it goes
polymerizedsage has quit [Quit: Ping timeout (120 seconds)]
<tpw_rules> does anyone have any AXI port signatures available right now?
<_whitenotifier-5> [amaranth] wanda-phi opened issue #1133: spurious warning for `Switch` over 0-width value - https://github.com/amaranth-lang/amaranth/issues/1133
<_whitenotifier-7> [amaranth] wanda-phi opened pull request #1134: hdl._ast: fix using 0-width `Switch` with integer keys. - https://github.com/amaranth-lang/amaranth/pull/1134
<_whitenotifier-7> [amaranth] codecov[bot] commented on pull request #1134: hdl._ast: fix using 0-width `Switch` with integer keys. - https://github.com/amaranth-lang/amaranth/pull/1134#issuecomment-1942770067
polymerizedsage has joined #amaranth-lang
<_whitenotifier-7> [amaranth] wanda-phi commented on issue #1133: spurious warning for `Switch` over 0-width value - https://github.com/amaranth-lang/amaranth/issues/1133#issuecomment-1942783680
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1135: hdl._dsl: fix using 0-width `Switch` with integer keys. - https://github.com/amaranth-lang/amaranth/pull/1135
<Wanda[cis]> bits_for strikes again.
<Wanda[cis]> also kinda funny how these are actually two unrelated bugs
<Wanda[cis]> lmfao found a third bug
<_whitenotifier-7> [amaranth] wanda-phi opened pull request #1136: sim: fix using 0-width `Switch`. - https://github.com/amaranth-lang/amaranth/pull/1136
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1136: sim: fix using 0-width `Switch`. - https://github.com/amaranth-lang/amaranth/pull/1136#issuecomment-1942811067
<Wanda[cis]> okay this makes glasgow testsuite pass with current amaranth main
<Wanda[cis]> let's try synth onto actual hardware
<Wanda[cis]> ... I wonder how that 0-width signal came into being in the first place, these bugs were just as present in older amaranth
<Wanda[cis]> oh. right. I get to do backports.
peepsalot has quit [Remote host closed the connection]
peeps[zen] has joined #amaranth-lang
<_whitenotifier-7> [amaranth] wanda-phi opened pull request #1137: hdl._ast: fix using 0-width `Switch` with integer keys. - https://github.com/amaranth-lang/amaranth/pull/1137
<_whitenotifier-7> [amaranth] wanda-phi edited pull request #1137: hdl._ast: fix using 0-width `Switch` with integer keys. [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1137
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1138: sim: fix using 0-width `Switch`. [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1138
<Wanda[cis]> oh gods there need to be more checks
<galibert[m]> did you try a direct deposit?
<_whitenotifier-7> [openFPGALoader-web] ramalhais opened issue #1: Please add examples on how to use this module - https://github.com/YoWASP/openFPGALoader-web/issues/1
<_whitenotifier-5> [amaranth] codecov[bot] commented on pull request #1137: hdl._ast: fix using 0-width `Switch` with integer keys. [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1137#issuecomment-1942857980
<Wanda[cis]> oh. and there's actually a dependency between the PRs.
<Wanda[cis]> aaaaaaaaaaaaa
<_whitenotifier-7> [amaranth] codecov[bot] commented on pull request #1138: sim: fix using 0-width `Switch`. [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1138#issuecomment-1942860289
<_whitenotifier-5> [amaranth] wanda-phi edited pull request #1135: hdl._dsl: fix using 0-width `Switch` with integer keys. - https://github.com/amaranth-lang/amaranth/pull/1135
<_whitenotifier-7> [amaranth] wanda-phi opened pull request #1139: hdl._dsl: fix using 0-width `Switch` with integer keys. [0.4 backport] - https://github.com/amaranth-lang/amaranth/pull/1139
<Wanda[cis]> this is fine
cr1901 has quit [Quit: Leaving]
cr1901 has joined #amaranth-lang