whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
<_whitenotifier-3> [yosys] whitequark created branch develop-0.37 - https://github.com/YoWASP/yosys
<_whitenotifier> [yosys] whitequark created branch release-0.37 - https://github.com/YoWASP/yosys
lf_ has quit [Ping timeout: 268 seconds]
lf has joined #amaranth-lang
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #amaranth-lang
notgull has quit [Ping timeout: 256 seconds]
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #amaranth-lang
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 255 seconds]
Degi_ is now known as Degi
feldim2425 has joined #amaranth-lang
Chips4MakersakaS has quit [Quit: Idle timeout reached: 172800s]
<_whitenotifier-3> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1039-2fecd1c78bfe240540e21a607e71304c2f28abda - https://github.com/amaranth-lang/amaranth
<_whitenotifier-3> [amaranth-lang/amaranth] wanda-phi ae36b59 - hdl.mem: Switch to first-class IR representation for memories.
<_whitenotifier> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±4] https://github.com/amaranth-lang/amaranth/compare/2fecd1c78bfe...ae36b596bb73
<_whitenotifier> [amaranth] whitequark closed pull request #1039: hdl.mem: Switch to first-class IR representation for memories. - https://github.com/amaranth-lang/amaranth/pull/1039
<_whitenotifier> [amaranth] whitequark closed issue #611: Memory ReadPort and WritePort are broken for negedge clockdomains - https://github.com/amaranth-lang/amaranth/issues/611
<_whitenotifier> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1039-2fecd1c78bfe240540e21a607e71304c2f28abda - https://github.com/amaranth-lang/amaranth
<_whitenotifier-3> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 4092150 - Deploying to main from @ amaranth-lang/amaranth@ae36b596bb736c257c8385492e2a76fbeab00df5 🚀
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±33] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/3c60685ecc0f...40921506e8af
<_whitenotifier-3> [yosys] DanielleHuisman opened pull request #31: Put default export last in package.json - https://github.com/YoWASP/yosys/pull/31
<_whitenotifier-3> [nextpnr] DanielleHuisman opened pull request #15: Put default export last in package.json - https://github.com/YoWASP/nextpnr/pull/15
oter has joined #amaranth-lang
<_whitenotifier-3> [YoWASP/vscode] whitequark pushed 1 commit to main [+0/-0/±3] https://github.com/YoWASP/vscode/compare/c2876a5e7e50...899ae657b497
<_whitenotifier> [YoWASP/vscode] whitequark 899ae65 - Use `release` tag for Yosys bundle.
<_whitenotifier> [yosys] whitequark closed pull request #31: Put default export last in package.json - https://github.com/YoWASP/yosys/pull/31
<_whitenotifier> [nextpnr] whitequark closed pull request #15: Put default export last in package.json - https://github.com/YoWASP/nextpnr/pull/15
<_whitenotifier-3> [nextpnr] whitequark commented on pull request #15: Put default export last in package.json - https://github.com/YoWASP/nextpnr/pull/15#issuecomment-1895507290
<_whitenotifier-3> [yosys] whitequark commented on pull request #31: Put default export last in package.json - https://github.com/YoWASP/yosys/pull/31#issuecomment-1895507332
<_whitenotifier-3> [yosys] whitequark commented on pull request #31: Put default export last in package.json - https://github.com/YoWASP/yosys/pull/31#issuecomment-1895510456
<nates93[m]> can someone point me to an amaranth project that uses ddr? I think the BBs in my design’s input port aren’t compatible with the ddr primitives im using. (https://www.reddit.com/r/FPGA/s/9oJJT9FPno)
<zyp[m]> is it possible to hook instances to pins with inout signals?
<whitequark[cis]> yes
<whitequark[cis]> x.request(dir='-')
<whitequark[cis]> * platform.request(dir='-')
<_whitenotifier-3> [amaranth] whitequark opened issue #1040: Make Pin an interface object - https://github.com/amaranth-lang/amaranth/issues/1040
<zyp[m]> perfect, I'm intending to eventually flip the litex/amaranth hybrid to put litex on top of an amaranth toplevel instead of the other way around
<whitequark[cis]> nice!
iamzim has joined #amaranth-lang
iamzim has left #amaranth-lang [#amaranth-lang]
FFY00 has quit [Read error: Connection reset by peer]
Camilo[m] has quit [Quit: Idle timeout reached: 172800s]
<_whitenotifier-3> [amaranth-soc] stsrc opened pull request #65: Mark amaranth dependency similarly to other projects. - https://github.com/amaranth-lang/amaranth-soc/pull/65
<_whitenotifier> [amaranth-soc] stsrc edited pull request #65: Mark amaranth dependency similarly to other projects. - https://github.com/amaranth-lang/amaranth-soc/pull/65
<_whitenotifier> [amaranth-soc] stsrc edited pull request #65: Mark amaranth dependency similarly to other projects. - https://github.com/amaranth-lang/amaranth-soc/pull/65
<_whitenotifier-3> [amaranth-soc] whitequark commented on pull request #65: Mark amaranth dependency similarly to other projects. - https://github.com/amaranth-lang/amaranth-soc/pull/65#issuecomment-1896232519
<_whitenotifier-3> [amaranth-soc] stsrc closed pull request #65: Mark amaranth dependency similarly to other projects. - https://github.com/amaranth-lang/amaranth-soc/pull/65
<_whitenotifier-3> [amaranth-soc] stsrc commented on pull request #65: Mark amaranth dependency similarly to other projects. - https://github.com/amaranth-lang/amaranth-soc/pull/65#issuecomment-1896240176
<_whitenotifier-3> [amaranth-lang/amaranth] whitequark tagged 4c1d0f3 as v0.4.1 https://github.com/amaranth-lang/amaranth/commit/4c1d0f3889d27e4f3d82f4d22d45f757b5e1bf54
<_whitenotifier-3> [amaranth] whitequark created tag v0.4.1 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-3> [amaranth] whitequark published v0.4.1 |  - https://github.com/amaranth-lang/amaranth/releases/tag/v0.4.1
<_whitenotifier-3> [amaranth] whitequark closed issue #1021: Tracking issue for RFC 39: Change semantics of no-argument `m.Case()` - https://github.com/amaranth-lang/amaranth/issues/1021
<_whitenotifier-3> [amaranth] whitequark commented on issue #1021: Tracking issue for RFC 39: Change semantics of no-argument `m.Case()` - https://github.com/amaranth-lang/amaranth/issues/1021#issuecomment-1896242485
<_whitenotifier-3> [amaranth] whitequark commented on issue #1021: Tracking issue for RFC 39: Change semantics of no-argument `m.Case()` - https://github.com/amaranth-lang/amaranth/issues/1021#issuecomment-1896242694
<_whitenotifier-3> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+166/-0/±0] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/40921506e8af...9e7e8b500b13
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] whitequark 9e7e8b5 - Deploying to main from @ amaranth-lang/amaranth@4c1d0f3889d27e4f3d82f4d22d45f757b5e1bf54 🚀
notgull has joined #amaranth-lang
<_whitenotifier> [amaranth-stdio] whitequark created branch pdm - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier-3> [amaranth-stdio] whitequark opened pull request #12: Migrate to the PDM build system and require Amaranth 0.4 - https://github.com/amaranth-lang/amaranth-stdio/pull/12
<_whitenotifier-3> [amaranth-stdio] codecov[bot] commented on pull request #12: Migrate to the PDM build system and require Amaranth 0.4 - https://github.com/amaranth-lang/amaranth-stdio/pull/12#issuecomment-1896273781
<_whitenotifier-3> [amaranth-stdio] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-12-eecd49c9bc0b10d3196e204a643c865ea6bd238e - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier-3> [amaranth-lang/amaranth-stdio] whitequark 8461340 - Migrate to the PDM build system.
<_whitenotifier> [amaranth-lang/amaranth-stdio] github-merge-queue[bot] pushed 2 commits to main [+1/-3/±4] https://github.com/amaranth-lang/amaranth-stdio/compare/eecd49c9bc0b...0468ce0703e8
<_whitenotifier-3> [amaranth-stdio] whitequark closed pull request #12: Migrate to the PDM build system and require Amaranth 0.4 - https://github.com/amaranth-lang/amaranth-stdio/pull/12
<_whitenotifier> [amaranth-lang/amaranth-stdio] whitequark 0468ce0 - Require Amaranth 0.4.
<_whitenotifier-3> [amaranth-stdio] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-12-eecd49c9bc0b10d3196e204a643c865ea6bd238e - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier-3> [amaranth-stdio] whitequark deleted branch pdm - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier> [amaranth] whitequark opened pull request #1041: docs: update cover, title, and copyright - https://github.com/amaranth-lang/amaranth/pull/1041
<_whitenotifier-3> [amaranth] codecov[bot] commented on pull request #1041: docs: update cover, title, and copyright - https://github.com/amaranth-lang/amaranth/pull/1041#issuecomment-1896312054
<_whitenotifier-3> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1041-ae36b596bb736c257c8385492e2a76fbeab00df5 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1041-ae36b596bb736c257c8385492e2a76fbeab00df5 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-3> [amaranth-stdio] whitequark created branch docs - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier> [amaranth-stdio] whitequark deleted branch docs - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier> [amaranth-stdio] whitequark opened pull request #13: Set up documentation boilerplate - https://github.com/amaranth-lang/amaranth-stdio/pull/13
<_whitenotifier> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1041-ae36b596bb736c257c8385492e2a76fbeab00df5 - https://github.com/amaranth-lang/amaranth
<_whitenotifier> [amaranth-stdio] codecov[bot] commented on pull request #13: Set up documentation boilerplate - https://github.com/amaranth-lang/amaranth-stdio/pull/13#issuecomment-1896334500
<_whitenotifier-3> [amaranth-stdio] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-13-0468ce0703e834124a19385f4ba916f1cfd93ed9 - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier-3> [amaranth-stdio] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-13-0468ce0703e834124a19385f4ba916f1cfd93ed9 - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier-3> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1041-ae36b596bb736c257c8385492e2a76fbeab00df5 - https://github.com/amaranth-lang/amaranth
josuah has joined #amaranth-lang
josuah has quit [Client Quit]
josuah has joined #amaranth-lang
<_whitenotifier-3> [amaranth-stdio] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-13-0468ce0703e834124a19385f4ba916f1cfd93ed9 - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier-3> [amaranth-stdio] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-13-0468ce0703e834124a19385f4ba916f1cfd93ed9 - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1041-ae36b596bb736c257c8385492e2a76fbeab00df5 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-3> [amaranth-stdio] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-13-0468ce0703e834124a19385f4ba916f1cfd93ed9 - https://github.com/amaranth-lang/amaranth-stdio
kaucasus has joined #amaranth-lang
<_whitenotifier-3> [amaranth-lang/amaranth-stdio] github-merge-queue[bot] pushed 1 commit to main [+6/-0/±2] https://github.com/amaranth-lang/amaranth-stdio/compare/0468ce0703e8...cf7c4c03e1e4
<_whitenotifier> [amaranth-lang/amaranth-stdio] whitequark cf7c4c0 - Set up documentation boilerplate.
<_whitenotifier-3> [amaranth-stdio] whitequark closed pull request #13: Set up documentation boilerplate - https://github.com/amaranth-lang/amaranth-stdio/pull/13
<_whitenotifier-3> [amaranth-stdio] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-13-0468ce0703e834124a19385f4ba916f1cfd93ed9 - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier-3> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±3] https://github.com/amaranth-lang/amaranth/compare/ae36b596bb73...6211eca4ac7c
<_whitenotifier> [amaranth-lang/amaranth] whitequark 6211eca - docs: update cover, title, and copyright.
<_whitenotifier-3> [amaranth] whitequark closed pull request #1041: docs: update cover, title, and copyright - https://github.com/amaranth-lang/amaranth/pull/1041
<_whitenotifier-3> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1041-ae36b596bb736c257c8385492e2a76fbeab00df5 - https://github.com/amaranth-lang/amaranth
<_whitenotifier-3> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+53/-0/±0] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/9e7e8b500b13...0a886edca5bf
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 0a886ed - Deploying to main from @ amaranth-lang/amaranth-stdio@cf7c4c03e1e42347084460400759eced5822b4d3 🚀
<_whitenotifier-3> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] f7a2707 - Deploying to main from @ amaranth-lang/amaranth@6211eca4ac7c6f23a8a7bc47534a9bd5656f3447 🚀
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±38] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/0a886edca5bf...f7a2707901c4
<_whitenotifier-3> [amaranth-stdio] whitequark opened pull request #14: docs: fix custom CSS - https://github.com/amaranth-lang/amaranth-stdio/pull/14
<_whitenotifier-3> [amaranth-stdio] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-14-cf7c4c03e1e42347084460400759eced5822b4d3 - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier-3> [amaranth-lang/amaranth-stdio] whitequark 4aa39e8 - docs: fix custom CSS.
<_whitenotifier> [amaranth-lang/amaranth-stdio] github-merge-queue[bot] pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth-stdio/compare/cf7c4c03e1e4...4aa39e8c9dc6
<_whitenotifier-3> [amaranth-stdio] whitequark closed pull request #14: docs: fix custom CSS - https://github.com/amaranth-lang/amaranth-stdio/pull/14
<_whitenotifier> [amaranth-stdio] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-14-cf7c4c03e1e42347084460400759eced5822b4d3 - https://github.com/amaranth-lang/amaranth-stdio
<_whitenotifier-3> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] 2c1e66e - Deploying to main from @ amaranth-lang/amaranth-stdio@4aa39e8c9dc68c4e3605bbb80a0ca84e3a25d019 🚀
<_whitenotifier> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±9] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/f7a2707901c4...2c1e66efd62d
<kaucasus> Hi folks, it's been a while since I've checked out this project. Congrats on the 0.4 release!
<kaucasus> I was wondering if there are still major datastructure chages planned or if it's mostly fleshing out the standard library.
<whitequark[cis]> by data structures do you mean like lib.data and lib.wiring?
<kaucasus> Yes, that's what I mean
<whitequark[cis]> there's going to be streams
<kaucasus> Oh that's exciting! Is there an RFC being drafted for that or just a general goal?
<whitequark[cis]> not an RFC yet
jfng[m] has quit [Quit: Idle timeout reached: 172800s]
<zyp[m]> speaking of streams, I published my implementation the other day: https://github.com/zyp/zyp-amaranth-libs
<zyp[m]> but it's a bit different from what Catherine is planning
notgull has quit [Ping timeout: 255 seconds]
FFY00 has joined #amaranth-lang
notgull has joined #amaranth-lang
kaucasus has quit [Quit: kaucasus]
tarmo_ has joined #amaranth-lang
<tarmo_> :1
tarmo_ has quit [Quit: leaving]
tarmo has joined #amaranth-lang
tarmo has quit [Quit: leaving]
tarmo has joined #amaranth-lang
tarmo has quit [Client Quit]
notgull has quit [Ping timeout: 240 seconds]