whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
Degi has quit [Ping timeout: 244 seconds]
Degi has joined #amaranth-lang
<_whitenotifier-7> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±2] https://github.com/YoWASP/yosys/compare/1be258bcb111...8b3e887b635b
<_whitenotifier-7> [YoWASP/yosys] whitequark 8b3e887 - Update dependencies.
skipwich has quit [Quit: DISCONNECT]
skipwich has joined #amaranth-lang
lofty has quit [Quit: ZNC - https://znc.in]
<_whitenotifier-7> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://github.com/YoWASP/yosys/compare/8b3e887b635b...967f291944fb
<_whitenotifier-7> [YoWASP/yosys] whitequark 967f291 - Update dependencies.
nak has quit [Quit: Bye]
nak has joined #amaranth-lang
Guest97 has joined #amaranth-lang
<Guest97> Hello, people. Please point me to the right explanation how to add multiple modules to top level module without putting all source code in a single file. For instance I want top level module with instatiated 5 submodules and only connect i/o ports
<Guest97> after f.write(verilog.convert(top, name=f"Top_{Name}", ports= [ top.InputComponentStream, top.OutputDpStream, top.Ready ])) all submodules code exposed in a single file =\
indy has quit [Quit: ZNC 1.8.2 - https://znc.in]
indy has joined #amaranth-lang
<whitequark> currently all RTL is emitted in a single file
<Guest97> just to confirm self._anon_submodules = [] will not work?
<Guest97> nevermind, thanks for prompt response
<whitequark> anything involving access of private variables is explicitly unsupported
Guest97 has quit [Quit: Client closed]
indy has quit [Ping timeout: 248 seconds]
indy has joined #amaranth-lang
indy has quit [Ping timeout: 252 seconds]
indy has joined #amaranth-lang
indy has quit [Ping timeout: 244 seconds]
Guest9880 has joined #amaranth-lang
Guest9880 has quit [Client Quit]
indy has joined #amaranth-lang
<Sarayan> whitequark: I wonder, wasn't the answer "use import, you're in python"?
<miek> they were asking about the generated verilog output
<Sarayan> ahhhhhh
vn-fpga has joined #amaranth-lang
lf has quit [Ping timeout: 260 seconds]
lf has joined #amaranth-lang