whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 260 seconds]
Degi_ is now known as Degi
urjaman is now known as urja
indy has quit [Ping timeout: 260 seconds]
indy has joined #amaranth-lang
mwk_ is now known as mwk
Dm30 has joined #amaranth-lang
Dm30 has quit [K-Lined]
<agg> is there an easy way to flatten generated verilog? I'm trying making a fragment and setting f.flatten=True before converting it, but no luck
<whitequark> that's an internal API, yeah
<whitequark> try using the yosys flatten pass?
<agg> can I invoke that from back.verilog?
<whitequark> not really
<whitequark> well
<whitequark> you could make a local modification
<agg> well, my very basic amaranth -> tinytapeout demo seems to work https://github.com/adamgreig/tinytapeout-prn
<whitequark> exciting :D
<agg> i've left out flattening for now since all my logic fits in one elaboratable anyway, heh
<agg> but in theory i think it wants to be flattened to avoid having to ensure all the module names are unique
<whitequark> i see
<agg> (since for tinytapeout eventually all the verilog's getting munged into one thing, apparently)
Sarayan has joined #amaranth-lang
peepsalot has quit [Read error: Connection reset by peer]
peepsalot has joined #amaranth-lang
duck27 is now known as duck2
Dm30 has joined #amaranth-lang
Dm30 has quit [K-Lined]
lf has quit [Ping timeout: 244 seconds]
lf has joined #amaranth-lang