whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings on Mondays at 1700 UTC · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
<_whitenotifier-9> [rfcs] whitequark opened pull request #11: Minimal type annotation support - https://github.com/amaranth-lang/rfcs/pull/11
lf_ has quit [Ping timeout: 248 seconds]
lf has joined #amaranth-lang
<_whitenotifier-9> [rfcs] whitequark synchronize pull request #11: Minimal type annotation support - https://github.com/amaranth-lang/rfcs/pull/11
<_whitenotifier-9> [rfcs] whitequark synchronize pull request #7: Const-castable Amaranth value to Python value conversions - https://github.com/amaranth-lang/rfcs/pull/7
peeps is now known as peepsalot
bl0x has joined #amaranth-lang
bl0x_ has quit [Ping timeout: 248 seconds]
<_whitenotifier-9> [rfcs] whitequark synchronize pull request #11: Minimal type annotation support - https://github.com/amaranth-lang/rfcs/pull/11
<_whitenotifier-9> [rfcs] whitequark synchronize pull request #11: Minimal type annotation support - https://github.com/amaranth-lang/rfcs/pull/11
<_whitenotifier-9> [rfcs] whitequark synchronize pull request #11: Minimal type annotation support - https://github.com/amaranth-lang/rfcs/pull/11
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 248 seconds]
Degi_ is now known as Degi
Guest88 has joined #amaranth-lang
<Guest88> hey guys/gals
<Guest88> im trying to install using instructions on https://amaranth-lang.org/docs/amaranth/latest/install.html
<Guest88> when im trying the led_blinker.py i get ModuleNotFoundError: No module named 'amaranth_boards'
<Guest88> which makes sense because that part of the installations instructions are TODO
<Guest88> so how do I install those?
<jn> probably very similarly to amanrath, except you won't need the builtin-yosys flag and the repo name is amaranth-boards.git instead of amaranth.git, and the package name is amaranth_boards instead of amaranth
<jn> (i don't know how you installed amaranth exactly, because the page shows multiple ways, so my answer is a bit unspecific)
<ktemkin> something like: pip install "amaranth_boards @ git+https://github.com/amaranth-lang/amaranth-boards.git"
<ktemkin> should complement those instructions
<Guest88> im on ubuntu 22.04 and installed it using pip3 install --user --upgrade amaranth
<Guest88> so kind of cheated there, but on yosys git they said that was the easiest way...
<ktemkin> (Technically you’d want to get a commit of amaranth-boards commit that matches your amaranth release, in case amaranth’s had a breaking change; but it doesn’t matter currently for amaranth-boards; it doesn’t use anything deprecated or aggressively new, AFAIK.)
<ktemkin> So my command above should work, assuming my phone typing is good.~
<Guest88> it is, it worked thank you! :)
<Guest88> Now I get Can't find iCE FTDI USB device but that is prob bc I did't attach it yet... ;-)
<ktemkin> attaching it does help, sometimes~
<Guest88> do I need to be root?
<Guest88> i know im a noob...
<Guest88> when i try sudo python3 led_blinker.py i get ModuleNotFoundError: No module named 'amaranth' but I guess that's because I'm sudo
<ktemkin> sudo’ing isn’t the best way of handling the permissions, but if you want to just do that, use “sudo -E”
<jn> for USB device permissions, udev configuration is a somewhat cleaner way, but requires poking system config files
<Guest88> yeah, I need to dig around to find out how
<Guest88> any pointers?
<Guest88> sudo -E gives
<Guest88> Traceback (most recent call last):
<Guest88>   File "/home/pmb/amaranth/led_blinker.py", line 37, in <module>
<Guest88>     ICEStickPlatform().build(LEDBlinker(), do_program=True)
<Guest88>   File "/home/pmb/.local/lib/python3.10/site-packages/amaranth/build/plat.py", line 98, in build
<Guest88>     require_tool(tool)
<Guest88>   File "/home/pmb/.local/lib/python3.10/site-packages/amaranth/_toolchain/__init__.py", line 33, in require_tool
<Guest88>     raise ToolNotFound("Could not find required tool {} in PATH. Place "
<Guest88> amaranth._toolchain.ToolNotFound: Could not find required tool yosys in PATH. Place it directly in PATH or specify path explicitly via the YOSYS environment variable
<Guest88> yosys -V gives Yosys 0.26+73 (git sha1 9747e55d9, clang 10.0.0-4ubuntu1 -fPIC -Os)
<ktemkin> often the board makers provide udev rules for their board; you just have to put them in /etc/udev/rules.d, and then e.g. restart your machine
<jn> https://github.com/f1xpl/openauto/wiki/udev-rules-(USB-permissions) but you can restrict the devices this rules applies to by specifying vendor/device IDs (obtained from lsusb) instead of "*". then, make sure your user account is in the plugdev group (log out and back in if a change to the groups doesn't take effect)
<Guest88> trying it, rebooting... :)
Guest88 has quit [Quit: Client closed]
pmbdk has joined #amaranth-lang
<jn> welcome back
<pmbdk> :)
<pmbdk> It works!!!!!!!!
<pmbdk> Damn, you guys are awesome!
<pmbdk> When I get my multi billion  dollar up and running I'll donate a percentage to you... ;-)
<jn> great :)
<pmbdk> on a related note: The company I'm working in (aerospace industry) is doing a lot of VHDL (not me, I'm just playing around) and we have just started a new project requiring a s*it-ton of FPGA processing. Usually the guys uses >90% on testing, and our current VHDL tools are pretty bad at that, since a lot of the image processing we do is pretty
<pmbdk> difficult to test in VHDL.
<pmbdk> Which is why I thought: Hey, why don't we do it in python with a lot of processing just being handed to us?
<pmbdk> Unfortunately auto-generated code is not good in aerospace, unless you can prove that the generated code is identical to the code you test on...
<pmbdk> And I guess Amaranth is not quite there (yet) ;-)
<pmbdk> Any s
<pmbdk> I think it would makes so much sense to do the testing in python, but the auto-coding problem makes it is bit problematic. Any ideas?
<d1b2> <TheZoq2> For testing in python, it sounds like cocotb might be interesting to you
<pmbdk> That actually looks pretty cool! :)
<pmbdk> thx!
nak has quit [Ping timeout: 246 seconds]
nak has joined #amaranth-lang
<d1b2> <TheZoq2> It's super nice, so much better than writing test benches in verilog/vhdl. The downside is it's quite slow
<pmbdk> btw, any recommendations for IRC client on iphone? I know I'mm off-topic here, so pls kick me if that is not allowed :)
pmbdk_ has joined #amaranth-lang
pmbdk_ has quit [Remote host closed the connection]
pmbdk has quit [Quit: Client closed]
balrog has joined #amaranth-lang
Guest11 has joined #amaranth-lang
Guest11 is now known as ash
ash is now known as other_ash
<d1b2> <VA3TEC-Mikek-14362> ING/amaranth/amaranth-boards/amaranth_boards$ python -m amaranth_boards.limesdr_mini_v2 Open On-Chip Debugger 0.11.0 Licensed under GNU GPL v2 For bug reports, read http://openocd.org/doc/doxygen/bugs.html DEPRECATED! use 'adapter driver' not 'interface' DEPRECATED! use 'adapter speed' not 'adapter_khz' Info : auto-selecting first available session transport "jtag". To override use 'transport select <transport>'. Warn :
<d1b2> Transport "jtag" was already selected Info : ftdi: if you experience problems at higher adapter clocks, try the command "ftdi_tdo_sample_edge falling" Info : clock speed 25000 kHz Info : JTAG tap: ecp5.tap tap/device found: 0x41112043 (mfg: 0x021 (Lattice Semi.), part: 0x1112, ver: 0x4) Warn : gdb services need one or more targets defined svf processing file: "/tmp/amaranth_llxj3lvy_top.svf"
<d1b2> <VA3TEC-Mikek-14362> I got the LimeSDR-Mini-V2 Board definition file to work! And Program! Blinky is ALIVE!!
<d1b2> <VA3TEC-Mikek-14362> now, just need help on how to do a pull request!
<d1b2> <VA3TEC-Mikek-14362> :flash:
<d1b2> <VA3TEC-Mikek-14362> 👍
DevanshTanna[m] has joined #amaranth-lang
<_whitenotifier-9> [amaranth] lekcyjna123 closed issue #742: Regression in Fmax of generated hardware - https://github.com/amaranth-lang/amaranth/issues/742
<_whitenotifier-9> [amaranth] lekcyjna123 commented on issue #742: Regression in Fmax of generated hardware - https://github.com/amaranth-lang/amaranth/issues/742#issuecomment-1455157457
Degi has quit [*.net *.split]
josuah has quit [*.net *.split]
TD-Linux has quit [*.net *.split]
leptonix_ has quit [*.net *.split]
Stary has quit [*.net *.split]
elle has quit [*.net *.split]
Degi has joined #amaranth-lang
Stary has joined #amaranth-lang
josuah has joined #amaranth-lang
TD-Linux has joined #amaranth-lang
elle has joined #amaranth-lang
leptonix_ has joined #amaranth-lang
<whitequark> oh nice!