ChanServ changed the topic of #yosys to: Yosys Open SYnthesis Suite: https://github.com/YosysHQ/yosys/ | Channel logs: https://libera.irclog.whitequark.org/yosys/
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
bl0x has joined #yosys
bl0x_ has quit [Ping timeout: 265 seconds]
citypw has joined #yosys
citypw has quit [Ping timeout: 255 seconds]
somlo has quit [Read error: Connection reset by peer]
somlo_ has joined #yosys
krispaul has joined #yosys
kristianpaul has quit [Ping timeout: 250 seconds]
markov_twain has joined #yosys
josuah has quit [Remote host closed the connection]
josuah has joined #yosys
lambda has quit [Ping timeout: 255 seconds]
lambda has joined #yosys
lambda has quit [Ping timeout: 248 seconds]
lambda has joined #yosys
Guest67 has joined #yosys
<Guest67> hey how to use yosys on ubuntu 22.04
<Guest67> i want to install yosys on my system
Guest67 has quit [Client Quit]
<josuah> Guest67 was not patient enough... too bad, next time!
somlo_ is now known as somlo
<bl0x> Is there an implementation of yosys and nextpnr for a simple toy FPGA? Just to learn how things are working together?
citypw has joined #yosys
markov_twain has quit [Read error: Connection reset by peer]
krispaul has quit [Quit: WeeChat 2.3]
kristianpaul has joined #yosys
so-offishul has joined #yosys
so-offish1 has joined #yosys
so-offish has quit [Ping timeout: 246 seconds]
so-offishul has quit [Ping timeout: 265 seconds]
so-offish1 has quit [Quit: Leaving]
ec has joined #yosys
ec has quit [Remote host closed the connection]
ec has joined #yosys
citypw has quit [Ping timeout: 255 seconds]
markov_twain has joined #yosys
so-offish has joined #yosys
ec has quit [Ping timeout: 255 seconds]
ec has joined #yosys
<cr1901> bl0x: nextpnr-generic comes with a toy FPGA arch to play around with, but at present, you can't actually synthesize the toy FPGA on an FPGA :(
<cr1901> That would be kinda fun tho...
<cr1901> You don't need toy-FPGA-arch-specific code in yosys because all the arch-specific code can be provided as arguments to generic yosys passes (synth, techmap, etc)
<bl0x> cr1901: thanks, I'll take a look though.
lambda has quit [Ping timeout: 260 seconds]
lambda has joined #yosys
strobo has quit [Ping timeout: 248 seconds]
sugarbeet has joined #yosys
sugarbeet has quit [Quit: Reconnecting]
sugarbeet has joined #yosys
sugarbeet has quit [Client Quit]
sugarbeet has joined #yosys
nonchip has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
nonchip has joined #yosys
bjorkintosh has quit [Quit: Leaving]
bjorkintosh has joined #yosys
bjorkintosh has quit [Changing host]
bjorkintosh has joined #yosys