whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
peeps[zen] has quit [Read error: Connection reset by peer]
peepsalot has joined #amaranth-lang
lf_ has quit [Ping timeout: 260 seconds]
lf has joined #amaranth-lang
bl0x_ has joined #amaranth-lang
bl0x has quit [Ping timeout: 272 seconds]
Degi has quit [Ping timeout: 272 seconds]
Degi_ has joined #amaranth-lang
Degi_ is now known as Degi
<_whitenotifier> [amaranth] whitequark commented on pull request #734: Initial support for gowin vendor via apicula toolchain. - https://github.com/amaranth-lang/amaranth/pull/734#issuecomment-1360835938
mindw0rk has quit [Ping timeout: 252 seconds]
mindw0rk has joined #amaranth-lang
pip[m] has quit [Ping timeout: 246 seconds]
JamesMunns[m] has quit [Ping timeout: 256 seconds]
jfng[m] has quit [Ping timeout: 264 seconds]
DaKnig has quit [Ping timeout: 265 seconds]
robtaylor has quit [Ping timeout: 264 seconds]
whitequark has quit [Ping timeout: 260 seconds]
mikolajw has quit [Ping timeout: 264 seconds]
xiretza[m] has quit [Ping timeout: 265 seconds]
adamgreig[m] has quit [Ping timeout: 246 seconds]
RossMotley[m] has quit [Ping timeout: 252 seconds]
pepijndevos[m] has quit [Ping timeout: 252 seconds]
koschei[m] has quit [Ping timeout: 252 seconds]
antoinevg[m] has quit [Ping timeout: 265 seconds]
cesar has quit [Ping timeout: 252 seconds]
RobertJrdens[m] has quit [Ping timeout: 264 seconds]
barryc[m] has quit [Ping timeout: 246 seconds]
JamesMunns[m] has joined #amaranth-lang
jfng[m] has joined #amaranth-lang
pip[m] has joined #amaranth-lang
xiretza[m] has joined #amaranth-lang
DaKnig has joined #amaranth-lang
mikolajw has joined #amaranth-lang
robtaylor has joined #amaranth-lang
whitequark has joined #amaranth-lang
koschei[m] has joined #amaranth-lang
RossMotley[m] has joined #amaranth-lang
pepijndevos[m] has joined #amaranth-lang
barryc[m] has joined #amaranth-lang
RobertJrdens[m] has joined #amaranth-lang
cesar has joined #amaranth-lang
adamgreig[m] has joined #amaranth-lang
antoinevg[m] has joined #amaranth-lang
richardeoin has quit [Quit: WeeChat 2.8]
<d1b2> <dave berkeley> I have a pair of Stream-like interfaces (valid, ready, payload(s) ) which I want to use to connect between modules on 2 different clock domains. I'm not sure where to start. The ClockDomainCrossing module in Litex seems to be what I want. Not sure how to test them either. Are there any examples I should look at?
<miek> AsyncFIFO is probably what you want
<d1b2> <dave berkeley> Just looking at the amlib and Luna code.
<d1b2> <bob_twinkles> AsyncFIFO is part of the amaranth standard library, see https://amaranth-lang.org/docs/amaranth/latest/stdlib/fifo.html#amaranth.lib.fifo.AsyncFIFO
<d1b2> <dave berkeley> Thanks. Just looking at how it is used. Not sure how to simulate modules with different clock domains.
richardeoin has joined #amaranth-lang
<adamgreig[m]> if you're using pysim, you can call simulator.add_clock multiple times for each domain and then add different testbench processes to each domain
<adamgreig[m]> like `simulator.add_clock(1/10e6, domain="sync"); simulator.add_clock(1/1e6, domain="slow"); simulator.add_sync_process(tb1, domain="sync"); simulator.add_sync_process(tb2, domain="slow")`
<d1b2> <dave berkeley> just realised the Tick(domain) syntax. That makes sense.
<adamgreig[m]> if you've added the sync process with a specific domain anyway you can just use yield in the testbench to advance one cycle
<d1b2> <dave berkeley> Thanks.
<Degi> Did anybody make a DDR(3) memory interface in Amaranth yet?
<koschei[m]> I’d love to have one. Maybe the ULX4M folks have something?
<koschei[m]> Degi: I might be wrong, but I think this supports DDR3 for certain FPGAs https://github.com/lambdaconcept/lambdasoc/blob/master/lambdasoc/cores/litedram.py it looks like a general amaranth interface into the Migen LiteDRAM core
<Degi> Neat
<Degi> Nice, even has ethernet
<Degi> Surely would be an upgrade over the 2 MBaud serial lol
<koschei[m]> Yep! I’m really excited, these are things I’d really like to experiment with as I start to finally get my ECP5 hardware in