whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
<_whitenotifier-e> [nextpnr] RGD2 commented on issue #14: gowin_pack broken -> apicula 0.3.1 needed - https://github.com/YoWASP/nextpnr/issues/14#issuecomment-1145464990
<_whitenotifier-e> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://github.com/YoWASP/yosys/compare/5c1aba7a7075...fe0cc1988d33
<_whitenotifier-e> [YoWASP/yosys] whitequark fe0cc19 - Update dependencies.
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 255 seconds]
Degi_ is now known as Degi
<_whitenotifier-e> [nextpnr] whitequark commented on issue #14: gowin_pack broken -> apicula 0.3.1 needed - https://github.com/YoWASP/nextpnr/issues/14#issuecomment-1145672493
<_whitenotifier-e> [nextpnr] whitequark commented on issue #14: gowin_pack broken -> apicula 0.3.1 needed - https://github.com/YoWASP/nextpnr/issues/14#issuecomment-1145675843
Lilian has quit [Quit: ZNC 1.8.2 - https://znc.in]
Lilian has joined #amaranth-lang
indy has quit [Quit: ZNC 1.8.2 - https://znc.in]
indy has joined #amaranth-lang
indy_ has joined #amaranth-lang
indy has quit [Ping timeout: 258 seconds]
indy_ has quit [Ping timeout: 240 seconds]
indy has joined #amaranth-lang
indy has quit [Ping timeout: 240 seconds]
indy has joined #amaranth-lang
indy has quit [Ping timeout: 246 seconds]
indy has joined #amaranth-lang
cr1901 has quit [Ping timeout: 255 seconds]
cr1901 has joined #amaranth-lang
cr1901 has quit [Read error: Connection reset by peer]
cr1901 has joined #amaranth-lang
cr1901 has quit [Remote host closed the connection]
cr1901 has joined #amaranth-lang
Guest41 has joined #amaranth-lang
<Guest41> Hi folks, a beginner question: what's the recommended way to simulate modules that rely on the platform? Should I pass the real platform to amaranth.cli.main(), or is there a "test" platform defined somewhere? If I pass the real platform, modules that determine the clock frequency using platform.default_clk_frequency end up inconsistent with the
<Guest41> simulation clock frequency configured by the command line args.
<d1b2> <dragonmux> don't know if this is per-se the 'recommended' way, but it works - and that is, construct a fake platform that provides sim versions of the things you need, use Fragment to take the sim top-level and pass it the platform, and pass the results to the simulator
<d1b2> <dragonmux> 'sec and we'll get you a couple of links showing how we do this
<d1b2> <dragonmux> https://github.com/shrine-maiden-heavy-industries/arachne/blob/main/arachne/core/sim.py#L47 constructing the Simulator object with the test bench + fake platform
<d1b2> <dragonmux> please note, Fragment is going to be replaced so this will break in a future version of Amaranth, but this works for right now
<d1b2> <dragonmux> hope that helps Guest41
<Guest41> TY d1b2 !
<d1b2> <dragonmux> d1b2 is actually just the bridge - our handle is dragonmux
lf_ has quit [Ping timeout: 258 seconds]
lf has joined #amaranth-lang