ChanServ changed the topic of #yosys to: Yosys Open SYnthesis Suite: https://github.com/YosysHQ/yosys/ | Channel logs: https://libera.irclog.whitequark.org/yosys/
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
emeb_mac has quit [Ping timeout: 245 seconds]
emeb_mac has joined #yosys
emeb_mac has quit [Ping timeout: 258 seconds]
emeb_mac has joined #yosys
peepsalot has quit [Read error: Connection reset by peer]
peepsalot has joined #yosys
xiretza[m] has quit [*.net *.split]
CarlosEDP has quit [*.net *.split]
eater has quit [*.net *.split]
tnt has quit [*.net *.split]
scientes has quit [*.net *.split]
vup has quit [*.net *.split]
CarlosEDP has joined #yosys
xiretza[m] has joined #yosys
eater has joined #yosys
vup has joined #yosys
tnt has joined #yosys
scientes has joined #yosys
dormito has quit [*.net *.split]
GenTooMan has quit [*.net *.split]
V has quit [*.net *.split]
dnm has quit [*.net *.split]
benreynwar has quit [*.net *.split]
AdamHord- has quit [*.net *.split]
srk has quit [*.net *.split]
knielsen has quit [*.net *.split]
knielsen has joined #yosys
AdamHord- has joined #yosys
dnm has joined #yosys
dormito has joined #yosys
srk has joined #yosys
GenTooMan has joined #yosys
benreynwar has joined #yosys
V has joined #yosys
promach[m] has quit [Ping timeout: 276 seconds]
jevinskie[m] has quit [Ping timeout: 240 seconds]
xiretza[m] has quit [Ping timeout: 272 seconds]
CarlosEDP has quit [Ping timeout: 272 seconds]
diadatp has quit [Ping timeout: 252 seconds]
whitequark has quit [Ping timeout: 252 seconds]
Niklas[m]1 has quit [Ping timeout: 240 seconds]
emilazy has quit [Ping timeout: 276 seconds]
nak_ has quit [*.net *.split]
Raito_Bezarius has quit [*.net *.split]
Ekho has quit [*.net *.split]
mwk has quit [*.net *.split]
smkz has quit [*.net *.split]
Ekho has joined #yosys
smkz has joined #yosys
nak_ has joined #yosys
Raito_Bezarius has joined #yosys
mwk has joined #yosys
gatecat has quit [*.net *.split]
sorear has quit [*.net *.split]
Lord_Nightmare has quit [*.net *.split]
koorogi has quit [*.net *.split]
ecs has quit [*.net *.split]
ecs has joined #yosys
Lord_Nightmare has joined #yosys
koorogi has joined #yosys
sorear has joined #yosys
gatecat has joined #yosys
jryans has quit [Ping timeout: 268 seconds]
kaji has quit [Ping timeout: 276 seconds]
Max-P has quit [*.net *.split]
Max-P has joined #yosys
jix has joined #yosys
jix has joined #yosys
jix has quit [Signing in (jix)]
adamse has joined #yosys
adamse has joined #yosys
adamse has quit [Changing host]
bluesceada has joined #yosys
diadatp has joined #yosys
CarlosEDP has joined #yosys
jevinskie[m] has joined #yosys
xiretza[m] has joined #yosys
whitequark has joined #yosys
FabM has joined #yosys
promach[m] has joined #yosys
Niklas[m]1 has joined #yosys
emilazy has joined #yosys
emeb_mac has quit [Quit: Leaving.]
kaji has joined #yosys
jryans has joined #yosys
dormito has quit [Ping timeout: 256 seconds]
vidbina has joined #yosys
dormito has joined #yosys
nak_ is now known as nak
vidbina has quit [Ping timeout: 268 seconds]
vidbina has joined #yosys
vidbina has quit [Ping timeout: 248 seconds]
vidbina has joined #yosys
vidbina has quit [Ping timeout: 245 seconds]
vidbina has joined #yosys
vidbina has quit [Ping timeout: 245 seconds]
oldtopman has joined #yosys
FabM has quit [Remote host closed the connection]
gsmecher has joined #yosys
vidbina has joined #yosys
modwizcode has joined #yosys
vidbina has quit [Ping timeout: 245 seconds]
modwizcode has quit [Quit: Ping timeout (120 seconds)]
vidbina has joined #yosys
emeb has joined #yosys
vidbina has quit [Ping timeout: 240 seconds]
emeb_mac has joined #yosys
emeb has quit [Ping timeout: 248 seconds]
emeb_mac has quit [Ping timeout: 245 seconds]
emeb_mac has joined #yosys
dormito has quit [Ping timeout: 240 seconds]
emeb has joined #yosys
dormito has joined #yosys
<cr1901> Has anyone used yosys w/ a crash test case minimizer?
<tpb> Title: Yosys Open SYnthesis Suite :: Command Reference :: bugpoint (at www.clifford.at)
<cr1901> Works for me
emeb_mac has quit [Ping timeout: 240 seconds]
emeb has quit [Ping timeout: 268 seconds]
emeb has joined #yosys
emeb_mac has joined #yosys
emeb has quit [Quit: Leaving.]
dormito has quit [Ping timeout: 240 seconds]