whitequark changed the topic of #amaranth-lang to: Amaranth hardware definition language · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang
<mwk> oh
<mwk> you mean a race in the *other* direction
<mwk> ... right
<mwk> ... what was I even looking up
<mwk> nevermind, I think I just failed a BIST and will just go to sleep
<_whitenotifier-9> [amaranth] whitequark commented on issue #693: [RFC] Aggregate data structure library - https://github.com/amaranth-lang/amaranth/issues/693#issuecomment-1089561489
<_whitenotifier-9> [amaranth] modwizcode opened pull request #695: Changelog update for new `debug_verilog` override - https://github.com/amaranth-lang/amaranth/pull/695
<_whitenotifier-9> [amaranth] codecov-commenter commented on pull request #695: Changelog update for new `debug_verilog` override - https://github.com/amaranth-lang/amaranth/pull/695#issuecomment-1089582480
<lsneff> What would be a reasonable way to extend `Module` to add that pipeline abstraction stuff as an external package?
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/ee9da63287c1...f39ee6e01457
<_whitenotifier-9> [amaranth-lang/amaranth] modwizcode f39ee6e - docs/changes: document addition of `debug_verilog` override.
<_whitenotifier-9> [amaranth] whitequark closed pull request #695: Changelog update for new `debug_verilog` override - https://github.com/amaranth-lang/amaranth/pull/695
<_whitenotifier-9> [amaranth] whitequark commented on pull request #695: Changelog update for new `debug_verilog` override - https://github.com/amaranth-lang/amaranth/pull/695#issuecomment-1089597969
<whitequark> Lachlan Sneff: unclear
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±29] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/ee718d47d607...c7868aafd532
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] whitequark c7868aa - Deploying to main from @ amaranth-lang/amaranth@f39ee6e01457afc7856f06f50a080d1ba741c046 🚀
<whitequark> I've considered slicing up `Module` into composable blocks before, but never came up with an actual design
<whitequark> are you sure you want to use `Module` at all?
<lsneff> No, not sure if I should. But it does need internal access to the module functions I think
<whitequark> that sounds like something to just... avoid
<lsneff> `._push/pop_ctrl` I think
<whitequark> why do you need it?
<lsneff> Perhaps I can rewrite it not to
Degi has quit [Ping timeout: 248 seconds]
Degi_ has joined #amaranth-lang
Degi_ is now known as Degi
<_whitenotifier-9> [amaranth] jfng commented on issue #693: [RFC] Aggregate data structure library - https://github.com/amaranth-lang/amaranth/issues/693#issuecomment-1089603681
<cr1901> >For fields that have any other shape-castable object set as their shape
<cr1901> Since layouts _are_ shape-castable, how do you distinguish fields w/ aggregate view layouts from other ShapeCastables?
<cr1901> I'm going by "class Layout(ShapeCastable):", since that's used at the aggregate value layout example
<cr1901> Anyways, looks fine to me, looking forward to this being implemented
<_whitenotifier-9> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://github.com/YoWASP/yosys/compare/37b9a340305c...af85974efac3
<_whitenotifier-9> [YoWASP/yosys] whitequark af85974 - Update dependencies.
<whitequark> cr1901: it's just an `isinstance(l, Layout)` check
<whitequark> I'm sure FL4SHK will want me to replace it with something better pretty quickly, though ^^;
<cr1901> I'm confused because the example you use to define a Layout inherits from ShapeCastable, not Layout.
<cr1901> Ooooh, okay... Layout(ShapeCastable) is in the previous section.
<cr1901> Also, is there an example of how to use Field correctly?
<cr1901> "Field(" returns 3 results, one defining it, and two uses in Alternatives
<cr1901> I'm not sure what it accomplishes, but I'll give this another read tomorrow
<whitequark> it's an internal thing mostly
<whitequark> you'd use it if you want IrregularLayout
<_whitenotifier-9> [amaranth] modwizcode opened pull request #696: Add documentation of `amaranth.build.dsl` - https://github.com/amaranth-lang/amaranth/pull/696
<_whitenotifier-9> [amaranth] codecov-commenter commented on pull request #696: Add documentation of `amaranth.build.dsl` - https://github.com/amaranth-lang/amaranth/pull/696#issuecomment-1089760866
<_whitenotifier-9> [amaranth] modwizcode synchronize pull request #696: Add documentation of `amaranth.build.dsl` - https://github.com/amaranth-lang/amaranth/pull/696
<_whitenotifier-9> [amaranth] whitequark closed pull request #575: Lattice: Add support for MachXO2/XO3L internal oscillator - https://github.com/amaranth-lang/amaranth/pull/575
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/f39ee6e01457...9b8354e137e0
<_whitenotifier-9> [amaranth-lang/amaranth] jreyesr 9b8354e - vendor.lattice_machxo_2_3l: add support for the internal oscillator, OSCH.
<_whitenotifier-9> [amaranth] whitequark commented on pull request #575: Lattice: Add support for MachXO2/XO3L internal oscillator - https://github.com/amaranth-lang/amaranth/pull/575#issuecomment-1089789459
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±26] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/c7868aafd532...4f02e21e8bdd
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] whitequark 4f02e21 - Deploying to main from @ amaranth-lang/amaranth@9b8354e137e0e095965cf84e58584a80867a1525 🚀
<_whitenotifier-9> [amaranth] modwizcode closed pull request #696: Add documentation of `amaranth.build.dsl` - https://github.com/amaranth-lang/amaranth/pull/696
<_whitenotifier-9> [amaranth] modwizcode synchronize pull request #696: Add documentation of `amaranth.build.dsl` - https://github.com/amaranth-lang/amaranth/pull/696
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark pushed 1 commit to main [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/9b8354e137e0...8b85afa72e09
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 8b85afa - docs/changes: document OSCH available as default clock source.
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark pushed 4 commits to rfc-issue-693 [+2/-0/±9] https://github.com/amaranth-lang/amaranth/compare/07c6ea5af280...5a706fe951fa
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 2406ef0 - hdl.ast: recursively cast ValueCastable objects to values.
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark b5db56b - hdl.ast: implement ShapeCastable (like ValueCastable).
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 2c8fc66 - lib.data: implement "Aggregate data structure library" RFC.
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 5a706fe - hdl,back,sim: accept .as_signed() and .as_unsigned() on LHS.
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±29] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/4f02e21e8bdd...6e13089b4063
<_whitenotifier-9> [amaranth-lang/amaranth-lang.github.io] whitequark 6e13089 - Deploying to main from @ amaranth-lang/amaranth@8b85afa72e09b334b29c28565709cd50d8112d11 🚀
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark pushed 4 commits to rfc-issue-693 [+2/-0/±9] https://github.com/amaranth-lang/amaranth/compare/5a706fe951fa...ab91ac2e3d40
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 4fa127e - hdl.ast: recursively cast ValueCastable objects to values.
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark d70a41a - hdl.ast: implement ShapeCastable (like ValueCastable).
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 2467b19 - lib.data: implement "Aggregate data structure library" RFC.
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark ab91ac2 - hdl,back,sim: accept .as_signed() and .as_unsigned() on LHS.
<_whitenotifier-9> [amaranth] whitequark opened pull request #697: Implement "Aggregate data structure library" RFC (#693) - https://github.com/amaranth-lang/amaranth/pull/697
<_whitenotifier-9> [amaranth] whitequark commented on issue #693: [RFC] Aggregate data structure library - https://github.com/amaranth-lang/amaranth/issues/693#issuecomment-1089798440
<_whitenotifier-9> [amaranth] modwizcode opened pull request #698: Cover `amaranth.build.dsl` with documentation - https://github.com/amaranth-lang/amaranth/pull/698
<_whitenotifier-9> [amaranth] codecov-commenter commented on pull request #698: Cover `amaranth.build.dsl` with documentation - https://github.com/amaranth-lang/amaranth/pull/698#issuecomment-1089806595
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark pushed 1 commit to rfc-issue-693 [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/ab91ac2e3d40...80cbb841bd2e
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 80cbb84 - lib.data: fix Python 3.7 compatibility.
<_whitenotifier-9> [amaranth] whitequark synchronize pull request #697: Implement "Aggregate data structure library" RFC (#693) - https://github.com/amaranth-lang/amaranth/pull/697
<_whitenotifier-9> [amaranth] codecov-commenter commented on pull request #697: Implement "Aggregate data structure library" RFC (#693) - https://github.com/amaranth-lang/amaranth/pull/697#issuecomment-1089809474
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark pushed 2 commits to rfc-issue-693 [+2/-0/±4] https://github.com/amaranth-lang/amaranth/compare/80cbb841bd2e...438f1ed4a5db
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 9549070 - lib.data: implement "Aggregate data structure library" RFC.
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 438f1ed - hdl,back,sim: accept .as_signed() and .as_unsigned() on LHS.
<_whitenotifier-9> [amaranth] whitequark synchronize pull request #697: Implement "Aggregate data structure library" RFC (#693) - https://github.com/amaranth-lang/amaranth/pull/697
<_whitenotifier-9> [amaranth] modwizcode reviewed pull request #697 commit - https://github.com/amaranth-lang/amaranth/pull/697#discussion_r843459560
<_whitenotifier-9> [amaranth] modwizcode reviewed pull request #697 commit - https://github.com/amaranth-lang/amaranth/pull/697#discussion_r843456453
<_whitenotifier-9> [amaranth] modwizcode reviewed pull request #697 commit - https://github.com/amaranth-lang/amaranth/pull/697#discussion_r843455136
<_whitenotifier-9> [amaranth] modwizcode reviewed pull request #697 commit - https://github.com/amaranth-lang/amaranth/pull/697#discussion_r843459004
<_whitenotifier-9> [amaranth] modwizcode reviewed pull request #697 commit - https://github.com/amaranth-lang/amaranth/pull/697#discussion_r843460917
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark pushed 1 commit to rfc-issue-693 [+0/-0/±1] https://github.com/amaranth-lang/amaranth/compare/438f1ed4a5db...6ea570604f73
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark 6ea5706 - hdl.ast: improve style of {Shape,Value}Castable doc. NFC.
<_whitenotifier-9> [amaranth] whitequark synchronize pull request #697: Implement "Aggregate data structure library" RFC (#693) - https://github.com/amaranth-lang/amaranth/pull/697
<_whitenotifier-9> [amaranth] whitequark reviewed pull request #697 commit - https://github.com/amaranth-lang/amaranth/pull/697#discussion_r843471527
<_whitenotifier-9> [amaranth] modwizcode reviewed pull request #697 commit - https://github.com/amaranth-lang/amaranth/pull/697#discussion_r843475000
nelgau_ has joined #amaranth-lang
nelgau has quit [Ping timeout: 256 seconds]
<_whitenotifier-9> [amaranth] modwizcode commented on issue #629: Provide a way to specify that a Signal is driven by a given Elaboratable - https://github.com/amaranth-lang/amaranth/issues/629#issuecomment-1089953849
<_whitenotifier-9> [amaranth] modwizcode closed issue #629: Provide a way to specify that a Signal is driven by a given Elaboratable - https://github.com/amaranth-lang/amaranth/issues/629
vup has quit [Remote host closed the connection]
vup has joined #amaranth-lang
gruetzkopf has quit [Quit: No Ping reply in 180 seconds.]
kbeckmann has quit [Ping timeout: 240 seconds]
XgF has quit [Remote host closed the connection]
gruetzkopf has joined #amaranth-lang
XgF has joined #amaranth-lang
kbeckmann has joined #amaranth-lang
pie_ has quit [Remote host closed the connection]
pie_ has joined #amaranth-lang
dicethrow has joined #amaranth-lang
dicethrow has quit [Client Quit]
<_whitenotifier-9> [amaranth] jreyesr commented on pull request #575: Lattice: Add support for MachXO2/XO3L internal oscillator - https://github.com/amaranth-lang/amaranth/pull/575#issuecomment-1090253111
<lsneff> Woah, that was quick. Didn’t expect that to be implemented so fast.
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark pushed 2 commits to rfc-issue-693 [+0/-0/±3] https://github.com/amaranth-lang/amaranth/compare/6ea570604f73...d99d443fe600
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark d6d2612 - lib.data: simplify _AggregateMeta.
<_whitenotifier-9> [amaranth-lang/amaranth] whitequark d99d443 - lib.data: IrregularLayout→FlexibleLayout.
<_whitenotifier-9> [amaranth] whitequark synchronize pull request #697: Implement "Aggregate data structure library" RFC (#693) - https://github.com/amaranth-lang/amaranth/pull/697
Xesxen_ is now known as Xesxen
nelgau_ has quit [Ping timeout: 272 seconds]
nelgau has joined #amaranth-lang
<_whitenotifier-9> [amaranth-boards] modwizcode commented on pull request #135: Add ZedBoard. - https://github.com/amaranth-lang/amaranth-boards/pull/135#issuecomment-1090675304
<_whitenotifier-9> [amaranth] lachlansneff closed issue #686: [RFC] Add a built-in pipeline abstraction - https://github.com/amaranth-lang/amaranth/issues/686
<_whitenotifier-9> [amaranth] lachlansneff opened issue #699: [subRFC] Discriminated Unions / Enums - https://github.com/amaranth-lang/amaranth/issues/699
Lord_Nightmare has quit [Remote host closed the connection]
Lord_Nightmare has joined #amaranth-lang
lf has quit [Ping timeout: 260 seconds]
lf has joined #amaranth-lang