trabucayre changed the topic of #openFPGALoader to: Universal utility for programming FPGA / Github: https://github.com/trabucayre/openFPGALoader/ Logs: https://libera.irclog.whitequark.org/openFPGALoader
Guest65 has joined #openFPGALoader
<Guest65> Hello, I was just wondering how difficult it might be to add support for another board/part
<Guest65> I would like to be able to add "minized" board
<Guest65> XC7Z007S, IDCODE 0x13723093
<Guest65> Insert into line 91, part.hpp:
<Guest65> {0x13723093, {"xilinx", "zynq", "xc7z007", 6}},
<Guest65> board.hpp would need something like:
<Guest65> JTAG_BOARD("minized", "xc7z007sclg225", "ft2232", 0, 0, CABLE_DEFAULT)
<Guest65> I was just wondering, before I try this, is that the extent of the complexity for adding a new part, or is new board/part support much more complex than this?
<Guest65> Thank you
Guest65 has quit [Quit: Client closed]