whitequark[cis] changed the topic of #amaranth-lang to: Amaranth hardware definition language · weekly meetings: Amaranth each Mon 1700 UTC, Amaranth SoC each Fri 1700 UTC · play https://amaranth-lang.org/play/ · code https://github.com/amaranth-lang · logs https://libera.irclog.whitequark.org/amaranth-lang · Matrix #amaranth-lang:matrix.org
lf has quit [Ping timeout: 255 seconds]
lf has joined #amaranth-lang
FFY00_ has quit [Remote host closed the connection]
FFY00_ has joined #amaranth-lang
Degi_ has joined #amaranth-lang
Degi has quit [Ping timeout: 264 seconds]
Degi_ is now known as Degi
<_whitenotifier-5> [amaranth] whitequark opened pull request #1201: docs/guide: fix incorrect reference - https://github.com/amaranth-lang/amaranth/pull/1201
<_whitenotifier-7> [amaranth] codecov[bot] commented on pull request #1201: docs/guide: fix incorrect reference - https://github.com/amaranth-lang/amaranth/pull/1201#issuecomment-1996291046
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1201-49dee891e870fa350ed95a042b0360540ba8c04e - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1201-49dee891e870fa350ed95a042b0360540ba8c04e - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1201-49dee891e870fa350ed95a042b0360540ba8c04e - https://github.com/amaranth-lang/amaranth
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1201-49dee891e870fa350ed95a042b0360540ba8c04e - https://github.com/amaranth-lang/amaranth
SpaceCoaster has quit [Quit: Bye]
SpaceCoaster has joined #amaranth-lang
omnitechnomancer has quit [Quit: Idle timeout reached: 172800s]
<_whitenotifier-7> [amaranth] github-merge-queue[bot] created branch gh-readonly-queue/main/pr-1201-49dee891e870fa350ed95a042b0360540ba8c04e - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth] whitequark add7d70 - docs/guide: fix incorrect reference.
<_whitenotifier-7> [amaranth-lang/amaranth] github-merge-queue[bot] pushed 2 commits to main [+0/-0/±2] https://github.com/amaranth-lang/amaranth/compare/49dee891e870...3e6e78012d59
<_whitenotifier-7> [amaranth-lang/amaranth] whitequark 3e6e780 - CI: fail `document` job on warnings.
<_whitenotifier-7> [amaranth] whitequark closed pull request #1201: docs/guide: fix incorrect reference - https://github.com/amaranth-lang/amaranth/pull/1201
<_whitenotifier-7> [amaranth] github-merge-queue[bot] deleted branch gh-readonly-queue/main/pr-1201-49dee891e870fa350ed95a042b0360540ba8c04e - https://github.com/amaranth-lang/amaranth
<_whitenotifier-5> [amaranth-lang/amaranth-lang.github.io] github-merge-queue[bot] eebb268 - Deploying to main from @ amaranth-lang/amaranth@3e6e78012d596d6e8154eefd4bd4cc95efd58339 🚀
<_whitenotifier-7> [amaranth-lang/amaranth-lang.github.io] whitequark pushed 1 commit to main [+0/-0/±39] https://github.com/amaranth-lang/amaranth-lang.github.io/compare/075544821633...eebb2688c512
Muddassir_Ali has joined #amaranth-lang
Muddassir_Ali has quit [Quit: Client closed]
notgull has quit [Ping timeout: 264 seconds]
oter has quit [Remote host closed the connection]
oter has joined #amaranth-lang
<cr1901> whitequark[cis]: What's the policy on bumping deps? I notice that amaranth uses a mix of ~= and >= deps in pyproject.toml. I want to make paramiko>=2.7 solely b/c the last 2.x release was over a year ago (We don't rely on any breaking changes in 3.0). Should I just make it paramiko~=3.0?
<Wanda[cis]> cr1901: what minimal python version does paramiko support? (that's usually the limitting factor)
<Wanda[cis]> paramiko 3.0 that is
<cr1901> 3.6
<Wanda[cis]> ok, then the bump should be doable
<Wanda[cis]> it does need manual testing though, our testsuite doesn't exercise any of the functionality involved
<cr1901> I already tested it locally
<Wanda[cis]> on Python 3.8 too?
<cr1901> python -V
<cr1901> >Python 3.11.8
<cr1901> No...
<cr1901> I will circle back to this- I have Ubuntu 20.04 w/ Python 3.8, but I'd have to set up my testing paramiko scripts for loopback.
<cr1901> https://github.com/cr1901/sentinel/issues/4 "Update dependency remote/paramiko to v3 (main)" Its smug aura mocks me...
<Wanda[cis]> another RFC 51: should we support custom Const subclasses on Struct/Union?
<Wanda[cis]> via a mechanism analogous to view_class on enums
<Wanda[cis]> * another RFC 51 question: should we support custom Const subclasses on Struct/Union?
<Wanda[cis]> also: View documentation talks about "slicing" the underlying value where I think it means "indexing"; actual slicing is not supported
<Wanda[cis]> (I think it wouldn't be a bad idea to support actual slicing, though)
peeps[zen] has joined #amaranth-lang
pbsds9 has joined #amaranth-lang
feldim2425_ has joined #amaranth-lang
_whitenotifier-7 has quit [Ping timeout: 260 seconds]
marcan has quit [Ping timeout: 260 seconds]
feldim2425 has quit [Ping timeout: 264 seconds]
pie_ has quit [Ping timeout: 260 seconds]
pbsds has quit [Ping timeout: 260 seconds]
pbsds9 is now known as pbsds
pie_ has joined #amaranth-lang
peepsalot has quit [Ping timeout: 260 seconds]
marcan has joined #amaranth-lang
<_whitenotifier-5> [amaranth] wanda-phi opened pull request #1202: Implement RFC 51: Add `ShapeCastable.from_bits` and `amaranth.lib.data.Const`. - https://github.com/amaranth-lang/amaranth/pull/1202
<Wanda[cis]> okay wtf is wrong with our packaging
<Wanda[cis]> catgirl innocent.
<Wanda[cis]> maybe if I rebase on main...
frgo has joined #amaranth-lang
<_whitenotifier-5> [amaranth] wanda-phi edited pull request #1202: Implement RFC 51: Add `ShapeCastable.from_bits` and `amaranth.lib.data.Const`. - https://github.com/amaranth-lang/amaranth/pull/1202
<cr1901> This isn't a profound realization or anything, but it did help me. Until about an hour ago I was asking "when would you ever want to nest interfaces?" Then I remembered something... 1/2
<cr1901> HtcbiAgICAgICAgXCJwYXlsb2FkXCI6IE91dChwYXlsb2FkKSxcbiAgICAgICAgXCJyZWFkeVwiOiBJbigxKSxcbiAgICAgICAgXCJ2YWxpZFwiOiBPdXQoMSlcbiAgICB9KVxuXG5kZWYgc3RyZWFtX3BhaXIocGF5bG9hZCk6XG4gICAgcmV0dXJuIFNpZ25hdHVyZSh7XG4gICAgICAgIFwidG9cIjogT3V0KHN0cmVhbShwYXlsb2FkKSksXG4gICAgICAgIFwiZnJvXCI6IEluKHN0cmVhbShwYXlsb2FkKSlcbiAgICB9KVxuXG5tID0gTW9kdWxlKClcblxub25lID0gc3RyZWFtX3BhaXIodW5zaWduZWQoOCkpLmNyZWF0ZSgpXG50d28gPSBzdHJlYW1fcGFpcih1bnNpZ25l
<cr1901> ZCg4KSkuZmxpcCgpLmNyZWF0ZSgpXG5wYXlsb2FkX29uZSA9IFNpZ25hbCg4KVxucGF5bG9hZF90d28gPSBTaWduYWwoOClcbmNvbm5lY3QobSwgb25lLCB0d28pXG5cblxuaW1wb3J0IGFtYXJhbnRoX3BsYXlncm91bmRcbmFtYXJhbnRoX3BsYXlncm91bmQuc2hvd192ZXJpbG9nKHZlcmlsb2cuY29udmVydChtLCBwb3J0cz1bb25lLnRvLnBheWxvYWQsIHR3by50by5wYXlsb2FkLFxuICAgIG9uZS50by5yZWFkeSwgdHdvLnRvLnJlYWR5LCBvbmUudG8udmFsaWQsIHR3by50by52YWxpZCwgb25lLmZyby5wYXlsb2FkLCB0d28uZnJvLnBheWxvYWQsXG4gICAgb25lLmZ
<cr1901> yby5yZWFkeSwgdHdvLmZyby5yZWFkeSwgb25lLmZyby52YWxpZCwgdHdvLmZyby52YWxpZF0pKVxuIn0=
<cr1901> Okay... that didn't work
<cr1901> two.to.ready gets flipped three times, becoming an Out(), and therefore becomes driven as an input from the generated Verilog's POV.
<cr1901> I can see this becoming very uhh... difficult to follow with a lot of nesting (at least without visual aids)